- projectName: Name of the project
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
{ myrtlepkgs ? import ../.. {} }: | |
let | |
pkgs = myrtlepkgs.nixpkgs; | |
inherit (pkgs) lib; | |
luaPackages = pkgs.lua51Packages; | |
luaPath = builtins.concatStringsSep ";" (map luaPackages.getLuaPath luaLibs); | |
luaCPath = builtins.concatStringsSep ";" (map luaPackages.getLuaCPath luaLibs); | |
# Add any additional lua libraries here. The list of available libraries can |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
component unnamed is | |
port ( | |
amm_ready_0 : out std_logic; -- waitrequest_n | |
amm_read_0 : in std_logic := 'X'; -- read | |
amm_write_0 : in std_logic := 'X'; -- write | |
amm_address_0 : in std_logic_vector(24 downto 0) := (others => 'X'); -- address | |
amm_readdata_0 : out std_logic_vector(575 downto 0); -- readdata | |
amm_writedata_0 : in std_logic_vector(575 downto 0) := (others => 'X'); -- writedata | |
amm_burstcount_0 : in std_logic_vector(6 downto 0) := (others => 'X'); -- burstcount | |
amm_byteenable_0 : in std_logic_vector(71 downto 0) := (others => 'X'); -- byteenable |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
{-# LANGUAGE DataKinds #-} | |
{-# LANGUAGE MagicHash #-} | |
{-# LANGUAGE NoImplicitPrelude #-} | |
module NN where | |
import Availability | |
import CLaSH.Annotations.TopEntity | |
import CLaSH.Prelude | |
import CLaSH.Prelude.Explicit |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
module Main | |
( main | |
) where | |
import GHC.IO.Exception | |
import System.IO | |
import System.IO.Error | |
import System.Process.Typed | |
main :: IO () |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
let | |
pkgs = import <nixpkgs> {}; | |
d = {stdenv}: | |
stdenv.mkDerivation { | |
name = import (pkgs.runCommand "hello-output" {} '' | |
${pkgs.hello}/bin/hello -g '"d-name"'> $out | |
''); | |
buildCommand = "touch $out"; | |
}; |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Nov 15 21:12:27 arria10 kernel[150]: fpga bridge driver | |
Nov 15 21:12:27 arria10 kernel[150]: socfpga_a10_fpga_manager ffd03000.fpgamgr: fpga manager [SoCFPGA Arria10 FPGA Manager] registered as minor 0 | |
Nov 15 21:12:27 arria10 kernel[150]: dwmmc_socfpga ff808000.flash: Using internal DMA controller. | |
Nov 15 21:12:27 arria10 kernel[150]: dwmmc_socfpga ff808000.flash: Version ID is 270a | |
Nov 15 21:12:27 arria10 kernel[150]: dwmmc_socfpga ff808000.flash: DW MMC controller at irq 130, 32 bit host data width, 1024 deep fifo | |
Nov 15 21:12:27 arria10 kernel[150]: dwmmc_socfpga ff808000.flash: 1 slots initialized |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
set rtp+=/nix/store/jj0z2s0v5gsg1vmfp8rkgk2r3xsmsbpq-haskell-vim-2016-11-12 | |
syntax on | |
filetype on | |
filetype plugin on | |
filetype indent on |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
let | |
platform = crossPkgs.platforms.armv7l-hf-multiplatform; | |
crossSystem = { | |
config = "arm-linux-gnueabihf"; | |
platform = platform; | |
libc = "glibc"; | |
arch = "arm"; | |
float = "hard"; | |
fpu = "vfp"; |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
{-# LANGUAGE GeneralizedNewtypeDeriving #-} | |
{-# LANGUAGE FlexibleContexts #-} | |
{-# LANGUAGE ConstrainedClassMethods #-} | |
module A where | |
class C m where | |
foo :: C m => m () | |
newtype N m a = N (m a) |