This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
using System; | |
using System.Diagnostics; | |
namespace DotNetDesignPatternDemos.Structural.Proxy.ValueProxy | |
{ | |
[DebuggerDisplay("{value*100.0f}%")] | |
public struct Percentage | |
{ | |
private readonly float value; |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
using System; | |
using System.Linq; | |
namespace DotNetDesignPatternDemos.Structural.Adapter | |
{ | |
// Vector2f, Vector3i | |
public interface IInteger | |
{ | |
int Value { get; } |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
using System; | |
using System.Collections.Generic; | |
using System.Threading; | |
namespace DesignPatterns | |
{ | |
public class Person | |
{ | |
public string Name; |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
import Foundation | |
import XCTest | |
public protocol Disposable | |
{ | |
func dispose() | |
} | |
protocol Invocable : class | |
{ |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
import Foundation | |
import XCTest | |
class SingleValue : Sequence | |
{ | |
var value = 0 | |
init() {} | |
init(_ value: Int) | |
{ |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
use std::marker::PhantomData; | |
use std::rc::Rc; | |
use std::fmt::Display; | |
struct Person | |
{ | |
street_address: String, | |
postcode: String, | |
city: String, |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
use std::marker::PhantomData; | |
struct Person | |
{ | |
street_address: String, | |
postcode: String, | |
city: String, | |
company_name: String, | |
position: String, |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
public class MyClass | |
{ | |
static void Main(string[] args) | |
{ | |
Console.WriteLine("test"); | |
} | |
} |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library ieee; | |
use ieee.std_logic_1164.all; | |
entity combination_lock is | |
port ( | |
key : in std_logic_vector(3 downto 0); | |
hex3, hex2, hex1, hex0 : out std_logic_vector(0 to 6); | |
clock_50: in std_logic | |
); | |
end entity; |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library ieee; | |
use ieee.std_logic_1164.all; | |
library ps; | |
use ps.hex_conv.all; | |
entity light is | |
port( | |
clock_50 : in std_logic; | |
hex0, hex1, hex2, hex3 : out std_logic_vector(0 to 6); | |
key : in std_logic_vector(0 to 3); |