Skip to content

Instantly share code, notes, and snippets.

View obiwanjacobi's full-sized avatar

Marc Jacobi obiwanjacobi

  • Jacobi Software, Canned Bytes
  • Netherlands
View GitHub Profile
@obiwanjacobi
obiwanjacobi / VHDL Syntax.vhdl
Created May 15, 2020 06:14
A small sample of VHDL syntax as a cheat sheet
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all;
-- interface
entity my_entity is
generic(
);
port(
@obiwanjacobi
obiwanjacobi / AdminStorageQueueCopy.cs
Last active September 10, 2019 13:42
Azure Queue Copy Function
using Microsoft.AspNetCore.Mvc;
using Microsoft.Azure.Storage;
using Microsoft.Azure.Storage.Queue;
using Microsoft.Azure.WebJobs;
using Microsoft.Azure.WebJobs.Extensions.Http;
using System;
using System.Collections.Generic;
using System.Threading.Tasks;
namespace Admin.Storage.Queue.Functions
@obiwanjacobi
obiwanjacobi / MyConnectedComponent.tsx
Last active April 16, 2018 06:08
TypeScript React-Redux connected Component Template
import * as React from "react";
import { Dispatch } from "redux";
import { connect, MapDispatchToPropsFunction, MapStateToProps } from "react-redux";
import { MyApplicationState, SomeSubStateType } from "./MyApplication";
import { Action1, createAction1Action } from "./Action1";
import { Action2, createAction2Action } from "./Action2";
import { Action3, createAction3Action } from "./Action3";
[import { Event1, Event2, Event3 } from "./Events";]