This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library ieee; | |
use ieee.std_logic_1164.all; | |
entity counter is | |
port ( | |
clk : in std_logic; | |
o : out std_logic); | |
end entity counter; |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
gmp = require('gmp') | |
var f = gmp.Float(2); | |
f.get_int(); | |
f.sqrt().get_double(); |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#include <flusspferd.hpp> | |
class class_info : public flusspferd::class_info { | |
char const *full_name_; | |
flusspferd::object proto; | |
char const *constructor_name_; | |
template<typename T> | |
friend class class_builder; | |
public: |
NewerOlder