Skip to content

Instantly share code, notes, and snippets.

@ruediger
ruediger / bar.vhdl
Created May 23, 2012 19:52
simple vhdl example
library ieee;
use ieee.std_logic_1164.all;
entity counter is
port (
clk : in std_logic;
o : out std_logic);
end entity counter;
@ruediger
ruediger / gist:105308
Created May 1, 2009 22:57
flusspferd.gmp example
gmp = require('gmp')
var f = gmp.Float(2);
f.get_int();
f.sqrt().get_double();
#include <flusspferd.hpp>
class class_info : public flusspferd::class_info {
char const *full_name_;
flusspferd::object proto;
char const *constructor_name_;
template<typename T>
friend class class_builder;
public: