Skip to content

Instantly share code, notes, and snippets.

View woky's full-sized avatar

woky

View GitHub Profile
package wokytwitter
import scala.concurrent.ExecutionContext.Implicits.global
object Main extends App {
import com.danielasfregola.twitter4s.TwitterRestClient
import com.danielasfregola.twitter4s.TwitterStreamingClient
val restClient = TwitterRestClient()
Setup: 'avalon_sys_inst|sdram_pll|sd1|pll7|clk[0]' report for main
Sun Apr 10 11:20:04 2016
Quartus Prime Version 15.1.1 Build 189 12/02/2015 SJ Lite Edition
---------------------
; Table of Contents ;
---------------------
1. Legal Notice
2. Fast 1200mV 0C Model Setup: 'avalon_sys_inst|sdram_pll|sd1|pll7|clk[0]'
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity frame_writer is
port (
clk : in std_logic := '0'; -- clk.clk
reset : in std_logic := '0'; -- reset.reset
ctl_write : in std_logic := '0'; -- ctl.write
ctl_writedata : in std_logic_vector(31 downto 0) := (others => '0'); -- .writedata