This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library ieee; | |
use ieee.std_logic_1164.all; | |
entity BancoRegistros is | |
generic( | |
nbits : integer : = 16 | |
); | |
port( | |
clk : in std_logic; | |
wr : in std_logic; |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#!/usr/bin/env python | |
""" | |
Script to crawl into a directory and dettect (by hash) duplicated files and (optionally) | |
remove them | |
Kudos to http://stackoverflow.com/a/748908 | |
""" | |
import argparse |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#!/usr/bin/env python | |
""" | |
Download all the comic strips listed in the XKCD archive page into the current | |
directory. | |
""" | |
from __future__ import print_function | |
import re | |
import sys |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#!/usr/bin/env python | |
""" | |
Simple script to download the Dilbert comic strips in a defined period of time | |
If no arguments are passed to the script, it will download all the Dilbert comic | |
strips in the current folder (It may take a while). | |
Acknowledgments | |
--------------- | |
This script is strongly based in the work from: |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
---------------------------------------------------------------------- | |
--! @brief | |
--! Simple configurable counter | |
--! | |
--! @details | |
--! counter the number of 'clk' rising edges defined by 'g_eoc'. | |
--! Once the EOC value is reached, this is indicated by the | |
--! activation of the 'eoc' signal. | |
--! The counter is restarted with the 'start' activation. | |
--! The counter may be configured with the 'g_cyclic' signal to |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library ieee ; | |
use ieee.std_logic_1164.all ; | |
use ieee.std_logic_unsigned.all; | |
entity moving_avg is | |
generic( | |
g_data_width : integer:= 16 | |
); | |
port ( | |
clk : in std_logic; |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#!/usr/bin/python | |
""" | |
Given a file containing hexadecimal numbers (one number per line), | |
returns the XModem CRC of these numbers. | |
Note: This scrip uses the thist party library `crcmod`. | |
you may isntall it using `pip install crcmod` | |
More info available here: | |
https://pypi.python.org/pypi/crcmod | |
""" |