Skip to content

Instantly share code, notes, and snippets.

@buttercutter
Created October 24, 2017 05:06
Show Gist options
  • Save buttercutter/28644f8d73b860d8858922df808fef8f to your computer and use it in GitHub Desktop.
Save buttercutter/28644f8d73b860d8858922df808fef8f to your computer and use it in GitHub Desktop.
`timescale 1ps/100fs
module baud_generator_tb;
reg clk;
wire baud_out;
baud_generator BG1
(
.clk(clk),
.baud_out(baud_out)
);
initial
begin
$dumpfile("baud_generator.vcd");
$dumpvars(0, baud_generator_tb);
clk = 1;
#1000000000 $finish;
end
always #10416.7 clk = !clk; // 48Mhz has a clock period of (20833/2)ps
endmodule
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment