Skip to content

Instantly share code, notes, and snippets.

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mypackage.all;
entity ftr is
port (
clk, reset, t : in std_logic;
q : out std_logic
) ;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mypackage.all;
entity ftr is
port (
clk, reset, t : in std_logic;
q : out std_logic
) ;
restart -f
force clk 0 0, 1 50 -repeat 100
force reset 1 0, 0 100
force t 1 55, 0 115 -repeat 200
run 1000
# flank.do
restart -f -nowave
view signals wave
add wave x y u q1 q2 reset
force reset 1
force x 0
force y 0
run 400 ns
force reset 0
-- VHDL
-- arbit3.vhd
-- Asynkron 3-ing. Arbitrerare
-- Fredrik Brosser 2011-03-02
library IEEE;
use IEEE.std_logic_1164.all;
Entity arbit is
Navn
Element ikon
Atomnummer
Atommasse (u)
Atomic radius (pm)
Serien
Tetthet (g / cm ^ 3)
Smeltepunkt (° K)
Kokepunkt (° K)
Fysisk tilstand (ved 293 ° K)
@fbrosser
fbrosser / uni
Created May 14, 2011 09:22
List of exchange universities
------ World Wide/Specialutbyten ------ 1 Dec 2011 -----------------------------------------------------------------------------------------------------------------
NCTU Taiwan
+ https://student.portal.chalmers.se/sv/studier/studera_utomlands/utbytesprogram/civing_arkitekter/world_wide_norden/utbytesuniversitet/taiwan/sidor/nationalchiaotunguniversity(nctu)ihsinchu,taiwan.aspx
Nanyang Technological University (NTU), Singapore
+ http://www.ntu.edu.sg/Pages/default.aspx
+ https://student.gate.chalmers.se/sv/studier/studera_utomlands/utbytesprogram/civing_arkitekter/world_wide_norden/utbytesuniversitet/sidor/singapore.aspx
University of Washington
@fbrosser
fbrosser / gear.markdown
Created May 28, 2011 22:40
A list of equipment and gear

Utrustning


Cykel / Essentials

  • Hjälm
  • Vattenflaska
  • Flaskhållare
  • Extrabromsar / Bromsgummin
@fbrosser
fbrosser / gist:1229329
Created September 20, 2011 14:59
Monte Carlo method MATLAB
function [answer] = montecarlo()
N = 100000;
M = 0;
for i = 1:N
x = rand-0.5;
y = rand-0.5;
M = M + (sqrt((x^2+y^2)) < 0.5);
end
@fbrosser
fbrosser / gist:1235155
Created September 22, 2011 15:59
Automated Model Railway with Collision Avoidance

Automated Model Railway with Collision Avoidance

Kandidatarbetesförslag VT 2012

Civilingenjör D / E / F / Z

Bakgrund

Många tillämpningar av styr- och robotteknik I industrin kräver koordinering och synkronisering mellan av varandra oberoende aktörer; det kan röra sig om säkerhets- eller effektivitetsproblem som skall lösas, exempelvis med industrirobotar inom en