This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library IEEE; | |
use IEEE.STD_LOGIC_1164.ALL; | |
use IEEE.STD_LOGIC_UNSIGNED.ALL; | |
library ecp5u; | |
use ecp5u.components.all; | |
entity top_testbench is | |
Port | |
( |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
`timescale 1ns / 1ps | |
////////////////////////////////////////////////////////////////////////////////// | |
// Company: Team Honey Badger | |
// Engineer: Sean Kennedy | |
// | |
// Create Date: 18:03:03 03/09/2015 | |
// Design Name: | |
// Module Name: LVDS_Output | |
// Project Name: LVDS_Implementation_v2 | |
// Target Devices: Atyls - Spartan6 |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
-- | |
-- AUTHOR=Goran | |
-- LICENSE=BSD | |
-- | |
-- VHDL Wrapper for Verilog | |
library ieee; | |
use ieee.std_logic_1164.all; | |
use ieee.std_logic_unsigned.all; |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
/* Stream musing from I2S to Bluetooth | |
This example code is in the Public Domain (or CC0 licensed, at your option.) | |
Unless required by applicable law or agreed to in writing, this | |
software is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR | |
CONDITIONS OF ANY KIND, either express or implied. | |
*/ | |
#include <string.h> | |
#include "freertos/FreeRTOS.h" |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
/* Stream musing from I2S to Bluetooth | |
This example code is in the Public Domain (or CC0 licensed, at your option.) | |
Unless required by applicable law or agreed to in writing, this | |
software is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR | |
CONDITIONS OF ANY KIND, either express or implied. | |
*/ | |
#include <string.h> | |
#include "freertos/FreeRTOS.h" |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
-- (c)EMARD | |
-- License=BSD | |
library IEEE; | |
use IEEE.STD_LOGIC_1164.ALL; | |
use IEEE.STD_LOGIC_UNSIGNED.ALL; | |
library ecp5u; | |
use ecp5u.components.all; |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
"""STM32 MCU serial firmware loader""" | |
# Mycropython test >> Should return :DEV_ID: 0410 | |
#import stm32flasher | |
#address = 0x00 | |
#size = 1 | |
#stm32bl = stm32flasher.Stm32flasher(1, 115200,5) | |
import time |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
module dvi_in_out | |
( | |
input clk_25mhz, | |
input [6:0] btn, | |
output [7:0] led, | |
output [3:0] gpdi_dp, gpdi_dn, | |
input [27:0] gp, | |
output wifi_gpio0 | |
); |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#!/usr/bin/env python3 | |
import argparse | |
import os | |
from litex.soc.integration.builder import Builder | |
from soc_linux import SoCLinux | |
kB = 1024 |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
module i2s_mic | |
#( | |
// Not used | |
parameter size = 16 | |
) | |
( | |
input wire clk, // 1.66MHz | |
input wire data_in, // data from microphone | |
input wire rst, | |
input [3:0] led, |