Skip to content

Instantly share code, notes, and snippets.

// C library headers
#include <stdio.h>
#include <string.h>
#include <stdlib.h>
// Linux headers
#include <fcntl.h> // Contains file controls like O_RDWR
#include <errno.h> // Error integer and strerror() function
#include <termios.h> // Contains POSIX terminal control definitions
#include <unistd.h> // write(), read(), close()
/*
FireFly raw C test
compile with
gcc rawSerial.c -o rawSerial
chmod +x rawSerial
./rawSerial
*/
// C library headers
#include <stdio.h>
#include <string.h>
separated
FILE,20201125-110749-RS485_V2-top.dpv
PCBFILE,RS485_V2-top.pos
DATE,2020/11/25
TIME,11:07:50
PANELYPE,0
Table,No.,ID,DeltX,DeltY,FeedRates,Note,Height,Speed,Status,SizeX,SizeY,HeightTake,DelayTake
Station,0,2,0,0,4,0603_1.1K,0.5,0,6,80,160,0,0
Station,1,3,0,0,4,0603_100K,0.5,0,6,80,160,0,0
@goran-mahovlic
goran-mahovlic / scopeio_top.vhd
Created November 23, 2020 19:37
Working Scopeio top level for BB3
-- AUTHOR = EMARD
-- LICENSE = BSD
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
library ecp5u;
use ecp5u.components.all;
-- AUTHOR = EMARD
-- LICENSE = BSD
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
library ecp5u;
use ecp5u.components.all;
BLOCK RESETPATHS;
BLOCK ASYNCPATHS;
## ULX3S v2.0 and v2.1
# The clock "usb" and "gpdi" sheet
LOCATE COMP "clk_25mhz" SITE "G2";
IOBUF PORT "clk_25mhz" PULLMODE=NONE IO_TYPE=LVCMOS33;
FREQUENCY PORT "clk_25mhz" 25 MHZ;
LOCATE COMP "clk_stm32" SITE "A9";
module top_vgatest_640x480
(
input clk_25mhz,
output clk_stm32,
output [3:0] gpdi_dp,
input [7:3] R_in,
output [7:3] R_out,
input [7:2] G_in,
output [7:2] G_out,
input [7:3] B_in,
-- (c)EMARD
-- License=BSD
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
library ecp5u;
use ecp5u.components.all;
module top_vgatest_640x480
(
input clk_25mhz,
input [6:0] btn,
output [7:0] led,
output [3:0] gpdi_dp,
input [12:9] gp_i,
output wifi_gpio0
);
// Working with generator
module top_vgatest_envox
(
input clk_25mhz,
output [7:0] led,
// output [3:0] gpdi_dp, gpdi_dn,
input gp_i_clk_p,
output gp_o_clk_p,
input [11:9] gp_i,