curl -fsSL https://its-pointless.github.io/setup-pointless-repo.sh | bash -
apt install git clang binutils make autoconf flex bison python3
git clone http://git.veripool.org/git/verilator
cd verilator
autoconf
./configure --prefix=${PREFIX}
make
make installtest.cpp:
#include "Vtest.h"
#include "verilated.h"
int main(int argc, char** argv, char** env) {
Verilated::commandArgs(argc, argv);
Vtest* test = new Vtest;
while (!Verilated::gotFinish()) { test->eval(); }
delete test;
exit(0);
}test.v:
module test;
initial
begin
$display("it works");
$finish;
end
endmoduleexport VERILATOR_ROOT="${PREFIX}/../home/verilator"
verilator -Wall --cc test.v --exe test.cpp
make -j -C obj_dir -f Vtest.mk Vtest
obj_dir/Vtest