sudo apt install git-all
ssh-keygen -t rsa -b 4096 -C "deploy"
cat ~/.ssh/id_rsa.pub
<!DOCTYPE html> | |
<html> | |
<head> | |
<meta charset="utf-8"> | |
<meta name="viewport" content="width=device-width"> | |
<title>JS Bin</title> | |
</head> | |
<body> | |
<script id="jsbin-javascript"> |
#define BLUE 6 | |
#define GREEN 5 | |
void setup() | |
{ | |
pinMode(A0, INPUT); | |
pinMode(A1, INPUT); | |
pinMode(BLUE, OUTPUT); | |
pinMode(GREEN, OUTPUT); | |
} |
defaults write com.apple.dock autohide-time-modifier -float 0.25 | |
defaults write com.apple.dock autohide-delay -int 0 | |
killall Dock |
Ваш код тут
Инициализация: | |
Запись (0x16) в регистр (02), как смещение текущего элемента в RAM на 23 позиции | |
X"1002_0216" | |
Запись (0x0) в регистр (03), как номер текущего элемента | |
X"1003_0300" | |
Запись (0x4) в регистр (04), как количество элементов всего | |
X"1004_0404" |
library ieee; | |
use ieee.std_logic_1164.all; | |
entity jkcounter_vhdl is | |
port(c, r, jk : in std_logic; | |
q0,q1,q2,q3 : out std_logic); | |
end jkcounter_vhdl; | |
architecture behaviour of jkcounter_vhdl is | |
signal temp0, temp1, temp2, temp3:std_logic; |
library ieee; | |
use ieee.std_logic_1164.all; | |
entity trstr_vhdl is | |
port(t,s,r :in std_logic; | |
q,qi :out std_logic); | |
end trstr_vhdl; | |
architecture behaviour of trstr_vhdl is | |
signal Qtemp:std_logic := 'X'; |
library ieee; | |
use ieee.std_logic_1164.all; | |
use ieee.std_logic_arith.all; | |
use ieee.std_logic_unsigned.all; | |
entity jkrstr_vhdl is | |
port ( j, k, s,r : in std_logic; | |
q : out std_logic; | |
qi : out std_logic | |
); |
module DateFilter | |
MONTHS = %w(Января Февраля Марта Апреля Мая Июня Июля Августа Сентября Октября Ноября Декабря) | |
def russian_long_month(input) | |
input.strftime("%-d") + " " + MONTHS[input.strftime("%m").to_i - 1] + " " + input.strftime("%Y") | |
end | |
end | |
Liquid::Template.register_filter(DateFilter) |