Skip to content

Instantly share code, notes, and snippets.

View semyonf's full-sized avatar
🔙
🔚

Semyón semyonf

🔙
🔚
View GitHub Profile
@semyonf
semyonf / russian_long_month.rb
Last active May 3, 2017 11:29
Плагин для русского формата даты Jekyll
module DateFilter
MONTHS = %w(Января Февраля Марта Апреля Мая Июня Июля Августа Сентября Октября Ноября Декабря)
def russian_long_month(input)
input.strftime("%-d") + " " + MONTHS[input.strftime("%m").to_i - 1] + " " + input.strftime("%Y")
end
end
Liquid::Template.register_filter(DateFilter)
@semyonf
semyonf / jkrstr_vhdl.vhd
Created April 12, 2017 15:57
Асинхронный JK-RS триггер на VHDL
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity jkrstr_vhdl is
port ( j, k, s,r : in std_logic;
q : out std_logic;
qi : out std_logic
);
@semyonf
semyonf / trstr_vhdl.vhd
Last active April 12, 2017 17:11
Асинхронный T-RS триггер на VHDL
library ieee;
use ieee.std_logic_1164.all;
entity trstr_vhdl is
port(t,s,r :in std_logic;
q,qi :out std_logic);
end trstr_vhdl;
architecture behaviour of trstr_vhdl is
signal Qtemp:std_logic := 'X';
@semyonf
semyonf / jkcounter_vhdl.vhd
Last active October 22, 2023 19:44
JK четырехразрядный счетчик на VHDL
library ieee;
use ieee.std_logic_1164.all;
entity jkcounter_vhdl is
port(c, r, jk : in std_logic;
q0,q1,q2,q3 : out std_logic);
end jkcounter_vhdl;
architecture behaviour of jkcounter_vhdl is
signal temp0, temp1, temp2, temp3:std_logic;
@semyonf
semyonf / course_2.txt
Created May 29, 2017 21:50
Исходники кода курсача с программированием на машинных кодах (2 семестр)
Инициализация:
Запись (0x16) в регистр (02), как смещение текущего элемента в RAM на 23 позиции
X"1002_0216"
Запись (0x0) в регистр (03), как номер текущего элемента
X"1003_0300"
Запись (0x4) в регистр (04), как количество элементов всего
X"1004_0404"
@semyonf
semyonf / centered-code.md
Last active October 17, 2017 13:49
Форматировать фрагмент кода по центру в Github markdown

Ваш код тут

@semyonf
semyonf / mac-dock.sh
Created November 13, 2018 22:05
Make Dock show/hide fast immediately
defaults write com.apple.dock autohide-time-modifier -float 0.25
defaults write com.apple.dock autohide-delay -int 0
killall Dock
@semyonf
semyonf / ivan_cw.cxx
Created December 26, 2018 13:51
курсач Ивану
#define BLUE 6
#define GREEN 5
void setup()
{
pinMode(A0, INPUT);
pinMode(A1, INPUT);
pinMode(BLUE, OUTPUT);
pinMode(GREEN, OUTPUT);
}
<!DOCTYPE html>
<html>
<head>
<meta charset="utf-8">
<meta name="viewport" content="width=device-width">
<title>JS Bin</title>
</head>
<body>
<script id="jsbin-javascript">
@semyonf
semyonf / deploy-pm2.md
Created November 23, 2023 21:17 — forked from hoangmirs/deploy-pm2.md
Deploy pm2 guide

1. Preparing the server

Install git

sudo apt install git-all

Generate Server's SSH public key

ssh-keygen -t rsa -b 4096 -C "deploy"
cat ~/.ssh/id_rsa.pub