Skip to content

Instantly share code, notes, and snippets.

@tanakamura
Created September 10, 2016 09:08
Show Gist options
  • Save tanakamura/e597c85241756db160976207d05d2f8e to your computer and use it in GitHub Desktop.
Save tanakamura/e597c85241756db160976207d05d2f8e to your computer and use it in GitHub Desktop.
all: top.bin
top.blif: top.v
yosys -p "synth_ice40 -blif top.blif; clean ; write_verilog synth.v" top.v
top.txt: top.blif top.pcf
arachne-pnr -p top.pcf top.blif -o top.txt -d 8k -P ct256
top.bin: top.txt
icepack top.txt top.bin
sim.vvp: top.v sim.v
iverilog -o $@ $^
.PHONY: run_sim
run_sim: sim.vvp
vvp sim.vvp
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment