Created
January 27, 2020 07:12
-
-
Save td-shi/fe3cf4f1dcd63d3d555454c069ada703 to your computer and use it in GitHub Desktop.
Arty Constraint
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
## Arty constraints file | |
## project: ????? | |
## Manual <https://reference.digilentinc.com/reference/programmable-logic/arty-a7/reference-manual> | |
## Pin <https://reference.digilentinc.com/_media/reference/programmable-logic/arty-a7/arty_a7_sch.pdf> | |
## Clock signal | |
set_property PACKAGE_PIN E3 [get_ports {CLK}] | |
set_property IOSTANDARD LVCMOS33 [get_ports {CLK}] | |
create_clock -add -name sys_clk_pin -period 10.00 \ | |
-waveform {0 5} [get_ports {CLK}] | |
## Reset | |
#set_property PACKAGE_PIN B8 [get_ports {RST}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {RST}] | |
## LEDs | |
#set_property PACKAGE_PIN H5 [get_ports {LED[0]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {LED[0]}] | |
#set_property PACKAGE_PIN J5 [get_ports {LED[1]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {LED[1]}] | |
#set_property PACKAGE_PIN T9 [get_ports {LED[2]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {LED[2]}] | |
#set_property PACKAGE_PIN T10 [get_ports {LED[3]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {LED[3]}] | |
## Tri Color LEDs | |
#set_property PACKAGE_PIN G6 [get_ports {LD_R[0]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {LD_R[0]}] | |
#set_property PACKAGE_PIN G3 [get_ports {LD_R[1]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {LD_R[1]}] | |
#set_property PACKAGE_PIN J3 [get_ports {LD_R[2]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {LD_R[2]}] | |
#set_property PACKAGE_PIN K1 [get_ports {LD_R[3]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {LD_R[3]}] | |
#set_property PACKAGE_PIN F6 [get_ports {LD_G[0]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {LD_G[0]}] | |
#set_property PACKAGE_PIN J4 [get_ports {LD_G[1]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {LD_G[1]}] | |
#set_property PACKAGE_PIN J2 [get_ports {LD_G[2]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {LD_G[2]}] | |
#set_property PACKAGE_PIN H6 [get_ports {LD_G[3]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {LD_G[3]}] | |
#set_property PACKAGE_PIN E1 [get_ports {LD_B[0]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {LD_B[0]}] | |
#set_property PACKAGE_PIN G4 [get_ports {LD_B[1]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {LD_B[1]}] | |
#set_property PACKAGE_PIN H4 [get_ports {LD_B[2]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {LD_B[2]}] | |
#set_property PACKAGE_PIN K2 [get_ports {LD_B[3]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {LD_B[3]}] | |
## Buttons | |
#set_property PACKAGE_PIN D9 [get_ports {BTN[0]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {BTN[0]}] | |
#set_property PACKAGE_PIN C9 [get_ports {BTN[1]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {BTN[1]}] | |
#set_property PACKAGE_PIN B9 [get_ports {BTN[2]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {BTN[2]}] | |
## Switches | |
#set_property PACKAGE_PIN A8 [get_ports {SW[0]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {SW[0]}] | |
#set_property PACKAGE_PIN C11 [get_ports {SW[1]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {SW[1]}] | |
#set_property PACKAGE_PIN C10 [get_ports {SW[2]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {SW[2]}] | |
#set_property PACKAGE_PIN A10 [get_ports {SW[3]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {SW[3]}] | |
## VGAs | |
#set_property PACKAGE_PIN V15 [get_ports {VGA_R[0]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {VGA_R[0]}] | |
#set_property PACKAGE_PIN U16 [get_ports {VGA_R[1]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {VGA_R[1]}] | |
#set_property PACKAGE_PIN P14 [get_ports {VGA_R[2]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {VGA_R[2]}] | |
#set_property PACKAGE_PIN T11 [get_ports {VGA_R[3]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {VGA_R[3]}] | |
#set_property PACKAGE_PIN R12 [get_ports {VGA_G[0]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {VGA_G[0]}] | |
#set_property PACKAGE_PIN T14 [get_ports {VGA_G[1]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {VGA_G[1]}] | |
#set_property PACKAGE_PIN T15 [get_ports {VGA_G[2]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {VGA_G[2]}] | |
#set_property PACKAGE_PIN T16 [get_ports {VGA_G[3]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {VGA_G[3]}] | |
#set_property PACKAGE_PIN N15 [get_ports {VGA_B[0]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {VGA_B[0]}] | |
#set_property PACKAGE_PIN M16 [get_ports {VGA_B[1]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {VGA_B[1]}] | |
#set_property PACKAGE_PIN V17 [get_ports {VGA_B[2]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {VGA_B[2]}] | |
#set_property PACKAGE_PIN U18 [get_ports {VGA_B[3]}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {VGA_B[3]}] | |
#set_property PACKAGE_PIN R17 [get_ports {VGA_HS}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {VGA_HS}] | |
#set_property PACKAGE_PIN P17 [get_ports {VGA_VS}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {VGA_VS}] | |
## Uart | |
#set_property PACKAGE_PIN A9 [get_ports {RXD}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {RXD}] | |
#set_property PACKAGE_PIN D10 [get_ports {TXD}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {TXD}] | |
## Ethernet PHY | |
#set_property PACKAGE_PIN K13 [get_ports {MDIO}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {MDIO}] | |
#set_property PACKAGE_PIN F16 [get_ports {MDC}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {MDC}] | |
#set_property PACKAGE_PIN C16 [get_ports {E_RESET}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {E_RESET}] | |
#set_property PACKAGE_PIN H14 [get_ports {TXD0}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {TXD0}] | |
#set_property PACKAGE_PIN J14 [get_ports {TXD1}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {TXD1}] | |
#set_property PACKAGE_PIN J13 [get_ports {TXD2}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {TXD2}] | |
#set_property PACKAGE_PIN H17 [get_ports {TXD3}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {TXD3}] | |
#set_property PACKAGE_PIN H15 [get_ports {TX_EN}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {TX_EN}] | |
#set_property PACKAGE_PIN H16 [get_ports {TX_CLK}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {TX_CLK}] | |
#set_property PACKAGE_PIN D18 [get_ports {RXD0}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {RXD0}] | |
#set_property PACKAGE_PIN E17 [get_ports {RXD1}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {RXD1}] | |
#set_property PACKAGE_PIN E18 [get_ports {RXD2}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {RXD2}] | |
#set_property PACKAGE_PIN G17 [get_ports {RXD3}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {RXD3}] | |
#set_property PACKAGE_PIN C17 [get_ports {RX_ER}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {RX_ER}] | |
#set_property PACKAGE_PIN G16 [get_ports {RX_DV}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {RX_DV}] | |
#set_property PACKAGE_PIN F15 [get_ports {RX_CLK}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {RX_CLK}] | |
#set_property PACKAGE_PIN D17 [get_ports {COL}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {COL}] | |
#set_property PACKAGE_PIN G14 [get_ports {CRS}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {CRS}] | |
#set_property PACKAGE_PIN G18 [get_ports {X1}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {X1}] | |
## SPI Flash | |
#set_property PACKAGE_PIN L13 [get_ports {CS}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {CS}] | |
#set_property PACKAGE_PIN K17 [get_ports {SDI}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {SDI}] | |
#set_property PACKAGE_PIN K18 [get_ports {SDO}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {SDO}] | |
#set_property PACKAGE_PIN L14 [get_ports {WP}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {WP}] | |
#set_property PACKAGE_PIN M14 [get_ports {HLD}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {HLD}] | |
#set_property PACKAGE_PIN E9 [get_ports {SCK_D}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {SCK_D}] | |
#set_property PACKAGE_PIN L16 [get_ports {SCK_R}] | |
#set_property IOSTANDARD LVCMOS33 [get_ports {SCK_R}] | |
## Pmod | |
# JA JB JC JD | |
# PType Std HiSpd HiSpd Std | |
# Pin 1 G13 E15 U12 D4 | |
# Pin 2 B11 E16 V12 D3 | |
# Pin 3 A11 D15 V10 F4 | |
# Pin 4 D12 C15 V11 F3 | |
# Pin 7 D13 J17 U14 E2 | |
# Pin 8 B18 J18 V14 D2 | |
# Pin 9 A18 K15 T13 H2 | |
# Pin 10 K16 J15 U13 G2 | |
## IOxx | |
# IOx0 IOx1 IOx2 IOx3 IOx4 IOx5 IOx6 IOx7 IOx8 IOx9 | |
# IO0x V15 U16 P14 T11 R12 T14 T15 T16 N15 M16 | |
# IO1x V17 U18 R17 P17 --- --- --- --- --- --- | |
# IO2x --- --- --- --- --- --- U11 V16 M13 R10 | |
# IO3x R11 R13 R15 P15 R16 N16 N14 U17 T18 R18 | |
# IO4x P18 N17 --- --- --- --- --- --- --- --- | |
## AD 0 ~ 5 | |
# Dig AD_P AD_N | |
# A0 F5 C6 C5 | |
# A1 D8 A6 A5 | |
# A2 C7 C4 B4 | |
# A3 E7 B1 A1 | |
# A4 D7 B3 B2 | |
# A5 D5 D14 C14 | |
## AD 6 ~ 11 | |
# Type Pin | |
# A6 P B7 | |
# A7 N B6 | |
# A8 P E6 | |
# A9 N E5 | |
# A10 P A4 | |
# A11 N A3 |
Sign up for free
to join this conversation on GitHub.
Already have an account?
Sign in to comment