Last active
September 12, 2022 10:08
-
-
Save xerpi/8d89caa1b850ad4bb2404e6e0f7f018f to your computer and use it in GitHub Desktop.
Ultra96v2 with Raspberry Pi Camera v2.1 (IMX219 sensor)
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
/ { | |
cam_clk: cam_clk { | |
#clock-cells = <0>; | |
compatible = "fixed-clock"; | |
clock-frequency = <24000000>; | |
}; | |
}; | |
&i2csw_2 { | |
imx219@10 { | |
compatible = "sony,imx219"; | |
reg = <0x10>; | |
clocks = <&cam_clk>; | |
clock-names = "xclk"; | |
//reset-gpios = <&gpio 37 GPIO_ACTIVE_HIGH>; | |
status = "okay"; | |
port { | |
imx219_to_mipi_csi2_rx_0: endpoint { | |
remote-endpoint = <&mipi_csi_invideo_pipeline_mipi_csi2_rx_subsyst_0>; | |
link-frequencies = /bits/ 64 <456000000>; | |
clock-noncontinuous; | |
clock-lanes = <0>; | |
data-lanes = <1 2>; | |
}; | |
}; | |
}; | |
}; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
xsct | |
hsi open_hw_design design_1_wrapper.xsa | |
hsi set_repo_path /path/to/device-tree-xlnx | |
hsi create_sw_design device-tree -os device_tree -proc psu_cortexa53_0 | |
hsi generate_target -dir my_dts | |
exit |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
/* | |
* CAUTION: This file is automatically generated by Xilinx. | |
* Version: XSCT 2022.1 | |
* Today is: Tue Aug 23 20:26:12 2022 | |
*/ | |
#include <dt-bindings/media/xilinx-vip.h> | |
/ { | |
amba_pl: amba_pl@0 { | |
#address-cells = <2>; | |
#size-cells = <2>; | |
compatible = "simple-bus"; | |
ranges ; | |
axi_intc_0: interrupt-controller@a0020000 { | |
#interrupt-cells = <2>; | |
clock-names = "s_axi_aclk"; | |
clocks = <&misc_clk_0>; | |
compatible = "xlnx,axi-intc-4.1", "xlnx,xps-intc-1.00.a"; | |
interrupt-controller ; | |
interrupt-names = "irq"; | |
interrupt-parent = <&gic>; | |
interrupts = <0 89 4>; | |
reg = <0x0 0xa0020000 0x0 0x10000>; | |
xlnx,kind-of-intr = <0x0>; | |
xlnx,num-intr-inputs = <0x2>; | |
}; | |
misc_clk_0: misc_clk_0 { | |
#clock-cells = <0>; | |
clock-frequency = <150000000>; | |
compatible = "fixed-clock"; | |
}; | |
video_pipeline_mipi_csi2_rx_subsyst_0: mipi_csi2_rx_subsystem@a0000000 { | |
clock-names = "lite_aclk", "dphy_clk_200M", "video_aclk"; | |
clocks = <&misc_clk_0>, <&misc_clk_1>, <&misc_clk_0>; | |
compatible = "xlnx,mipi-csi2-rx-subsystem-5.1", "xlnx,mipi-csi2-rx-subsystem-5.0"; | |
interrupt-names = "csirxss_csi_irq"; | |
interrupt-parent = <&axi_intc_0>; | |
interrupts = <0 2>; | |
reg = <0x0 0xa0000000 0x0 0x2000>; | |
xlnx,axis-tdata-width = <32>; | |
xlnx,csi-pxl-format = <0x2b>; | |
xlnx,dphy-present ; | |
xlnx,max-lanes = <2>; | |
xlnx,ppc = <1>; | |
xlnx,vc = <4>; | |
xlnx,vfb ; | |
mipi_csi_portsvideo_pipeline_mipi_csi2_rx_subsyst_0: ports { | |
#address-cells = <1>; | |
#size-cells = <0>; | |
mipi_csi_port1video_pipeline_mipi_csi2_rx_subsyst_0: port@1 { | |
/* Fill cfa-pattern=rggb for raw data types, other fields video-format and video-width user needs to fill */ | |
reg = <1>; | |
xlnx,cfa-pattern = "rggb"; | |
xlnx,video-format = <XVIP_VF_MONO_SENSOR>; | |
xlnx,video-width = <8>; | |
mipi_csirx_outvideo_pipeline_mipi_csi2_rx_subsyst_0: endpoint { | |
remote-endpoint = <&video_pipeline_v_demosaic_0video_pipeline_mipi_csi2_rx_subsyst_0>; | |
}; | |
}; | |
mipi_csi_port0video_pipeline_mipi_csi2_rx_subsyst_0: port@0 { | |
/* Fill cfa-pattern=rggb for raw data types, other fields video-format,video-width user needs to fill */ | |
/* User need to add something like remote-endpoint=<&out> under the node csiss_in:endpoint */ | |
reg = <0>; | |
xlnx,cfa-pattern = "rggb"; | |
xlnx,video-format = <XVIP_VF_MONO_SENSOR>; | |
xlnx,video-width = <8>; | |
mipi_csi_invideo_pipeline_mipi_csi2_rx_subsyst_0: endpoint { | |
remote-endpoint = <&imx219_to_mipi_csi2_rx_0>; | |
data-lanes = <1 2>; | |
}; | |
}; | |
}; | |
}; | |
misc_clk_1: misc_clk_1 { | |
#clock-cells = <0>; | |
clock-frequency = <200000000>; | |
compatible = "fixed-clock"; | |
}; | |
video_pipeline_v_demosaic_0: v_demosaic@a0030000 { | |
clock-names = "ap_clk"; | |
clocks = <&misc_clk_0>; | |
compatible = "xlnx,v-demosaic-1.1", "xlnx,v-demosaic"; | |
reg = <0x0 0xa0030000 0x0 0x10000>; | |
reset-gpios = <&gpio 85 1>; | |
xlnx,max-height = <1080>; | |
xlnx,max-width = <1920>; | |
xlnx,s-axi-ctrl-addr-width = <0x6>; | |
xlnx,s-axi-ctrl-data-width = <0x20>; | |
demosaic_portsvideo_pipeline_v_demosaic_0: ports { | |
#address-cells = <1>; | |
#size-cells = <0>; | |
demosaic_port1video_pipeline_v_demosaic_0: port@1 { | |
/* For cfa-pattern=rggb user needs to fill as per BAYER format */ | |
reg = <1>; | |
xlnx,cfa-pattern = "rggb"; | |
xlnx,video-width = <8>; | |
demo_outvideo_pipeline_v_demosaic_0: endpoint { | |
remote-endpoint = <&video_pipeline_v_gamma_lut_0video_pipeline_v_demosaic_0>; | |
}; | |
}; | |
demosaic_port0video_pipeline_v_demosaic_0: port@0 { | |
/* For cfa-pattern=rggb user needs to fill as per BAYER format */ | |
reg = <0>; | |
xlnx,cfa-pattern = "rggb"; | |
xlnx,video-width = <8>; | |
video_pipeline_v_demosaic_0video_pipeline_mipi_csi2_rx_subsyst_0: endpoint { | |
remote-endpoint = <&mipi_csirx_outvideo_pipeline_mipi_csi2_rx_subsyst_0>; | |
}; | |
}; | |
}; | |
}; | |
video_pipeline_v_frmbuf_wr_0: v_frmbuf_wr@a0080000 { | |
#dma-cells = <1>; | |
clock-names = "ap_clk"; | |
clocks = <&misc_clk_0>; | |
compatible = "xlnx,v-frmbuf-wr-2.4", "xlnx,axi-frmbuf-wr-v2.2"; | |
interrupt-names = "interrupt"; | |
interrupt-parent = <&axi_intc_0>; | |
interrupts = <1 2>; | |
reg = <0x0 0xa0080000 0x0 0x10000>; | |
reset-gpios = <&gpio 89 1>; | |
xlnx,dma-addr-width = <64>; | |
xlnx,dma-align = <8>; | |
xlnx,max-height = <1080>; | |
xlnx,max-width = <1920>; | |
xlnx,pixels-per-clock = <1>; | |
xlnx,s-axi-ctrl-addr-width = <0x7>; | |
xlnx,s-axi-ctrl-data-width = <0x20>; | |
xlnx,vid-formats = "uyvy", "y8", "yuyv"; | |
xlnx,video-width = <8>; | |
}; | |
video_pipeline_v_gamma_lut_0: v_gamma_lut@a0090000 { | |
clock-names = "ap_clk"; | |
clocks = <&misc_clk_0>; | |
compatible = "xlnx,v-gamma-lut-1.1", "xlnx,v-gamma-lut"; | |
reg = <0x0 0xa0090000 0x0 0x10000>; | |
reset-gpios = <&gpio 86 1>; | |
xlnx,max-height = <1080>; | |
xlnx,max-width = <1920>; | |
xlnx,s-axi-ctrl-addr-width = <13>; | |
xlnx,s-axi-ctrl-data-width = <32>; | |
gamma_portsvideo_pipeline_v_gamma_lut_0: ports { | |
#address-cells = <1>; | |
#size-cells = <0>; | |
gamma_port1video_pipeline_v_gamma_lut_0: port@1 { | |
reg = <1>; | |
xlnx,video-width = <8>; | |
gamma_outvideo_pipeline_v_gamma_lut_0: endpoint { | |
remote-endpoint = <&video_pipeline_v_proc_ss_cscvideo_pipeline_v_gamma_lut_0>; | |
}; | |
}; | |
gamma_port0video_pipeline_v_gamma_lut_0: port@0 { | |
reg = <0>; | |
xlnx,video-width = <8>; | |
video_pipeline_v_gamma_lut_0video_pipeline_v_demosaic_0: endpoint { | |
remote-endpoint = <&demo_outvideo_pipeline_v_demosaic_0>; | |
}; | |
}; | |
}; | |
}; | |
video_pipeline_v_proc_ss_csc: v_proc_ss@a0010000 { | |
clock-names = "aclk"; | |
clocks = <&misc_clk_0>; | |
compatible = "xlnx,v-proc-ss-2.3", "xlnx,vpss-csc", "xlnx,v-vpss-csc"; | |
reg = <0x0 0xa0010000 0x0 0x10000>; | |
reset-gpios = <&gpio 87 1>; | |
xlnx,colorspace-support = <0>; | |
xlnx,csc-enable-window = "true"; | |
xlnx,max-height = <1080>; | |
xlnx,max-width = <1920>; | |
xlnx,num-video-components = <3>; | |
xlnx,samples-per-clk = <1>; | |
xlnx,topology = <3>; | |
xlnx,use-uram = <0>; | |
xlnx,video-width = <8>; | |
csc_portsvideo_pipeline_v_proc_ss_csc: ports { | |
#address-cells = <1>; | |
#size-cells = <0>; | |
csc_port1video_pipeline_v_proc_ss_csc: port@1 { | |
/* For xlnx,video-format user needs to fill as per their requirement */ | |
reg = <1>; | |
xlnx,video-format = <XVIP_VF_YUV_420>; | |
xlnx,video-width = <8>; | |
csc_outvideo_pipeline_v_proc_ss_csc: endpoint { | |
remote-endpoint = <&video_pipeline_v_proc_ss_scalervideo_pipeline_v_proc_ss_csc>; | |
}; | |
}; | |
csc_port0video_pipeline_v_proc_ss_csc: port@0 { | |
/* For xlnx,video-format user needs to fill as per their requirement */ | |
reg = <0>; | |
xlnx,video-format = <XVIP_VF_YUV_420>; | |
xlnx,video-width = <8>; | |
video_pipeline_v_proc_ss_cscvideo_pipeline_v_gamma_lut_0: endpoint { | |
remote-endpoint = <&gamma_outvideo_pipeline_v_gamma_lut_0>; | |
}; | |
}; | |
}; | |
}; | |
video_pipeline_v_proc_ss_scaler: v_proc_ss@a0040000 { | |
clock-names = "aclk_axis", "aclk_ctrl"; | |
clocks = <&misc_clk_0>, <&misc_clk_0>; | |
compatible = "xlnx,v-proc-ss-2.3", "xlnx,vpss-scaler-2.2", "xlnx,v-vpss-scaler-2.2", "xlnx,vpss-scaler"; | |
reg = <0x0 0xa0040000 0x0 0x40000>; | |
reset-gpios = <&gpio 88 1>; | |
xlnx,colorspace-support = <0>; | |
xlnx,csc-enable-window = "true"; | |
xlnx,enable-csc = "true"; | |
xlnx,h-scaler-phases = <64>; | |
xlnx,h-scaler-taps = <8>; | |
xlnx,max-height = <1080>; | |
xlnx,max-num-phases = <64>; | |
xlnx,max-width = <1920>; | |
xlnx,num-hori-taps = <8>; | |
xlnx,num-vert-taps = <8>; | |
xlnx,pix-per-clk = <1>; | |
xlnx,samples-per-clk = <1>; | |
xlnx,scaler-algorithm = <2>; | |
xlnx,topology = <0>; | |
xlnx,use-uram = <0>; | |
xlnx,v-scaler-phases = <64>; | |
xlnx,v-scaler-taps = <8>; | |
xlnx,video-width = <8>; | |
scaler_portsvideo_pipeline_v_proc_ss_scaler: ports { | |
#address-cells = <1>; | |
#size-cells = <0>; | |
scaler_port1video_pipeline_v_proc_ss_scaler: port@1 { | |
/* For xlnx,video-format user needs to fill as per their requirement */ | |
reg = <1>; | |
xlnx,video-format = <XVIP_VF_YUV_420>; | |
xlnx,video-width = <8>; | |
sca_outvideo_pipeline_v_proc_ss_scaler: endpoint { | |
remote-endpoint = <&video_pipeline_v_frmbuf_wr_0video_pipeline_v_proc_ss_scaler>; | |
}; | |
}; | |
scaler_port0video_pipeline_v_proc_ss_scaler: port@0 { | |
/* For xlnx,video-format user needs to fill as per their requirement */ | |
reg = <0>; | |
xlnx,video-format = <XVIP_VF_YUV_420>; | |
xlnx,video-width = <8>; | |
video_pipeline_v_proc_ss_scalervideo_pipeline_v_proc_ss_csc: endpoint { | |
remote-endpoint = <&csc_outvideo_pipeline_v_proc_ss_csc>; | |
}; | |
}; | |
}; | |
}; | |
vcap_video_pipeline_v_proc_ss_scaler { | |
compatible = "xlnx,video"; | |
dma-names = "port0"; | |
dmas = <&video_pipeline_v_frmbuf_wr_0 0>; | |
vcap_portsvideo_pipeline_v_proc_ss_scaler: ports { | |
#address-cells = <1>; | |
#size-cells = <0>; | |
vcap_portvideo_pipeline_v_proc_ss_scaler: port@0 { | |
direction = "input"; | |
reg = <0>; | |
video_pipeline_v_frmbuf_wr_0video_pipeline_v_proc_ss_scaler: endpoint { | |
remote-endpoint = <&sca_outvideo_pipeline_v_proc_ss_scaler>; | |
}; | |
}; | |
}; | |
}; | |
}; | |
}; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#***************************************************************************************** | |
# Vivado (TM) v2022.1 (64-bit) | |
# | |
# ultra96_design.tcl: Tcl script for re-creating project 'ultra96_design' | |
# | |
# Generated by Vivado on Tue Aug 09 11:30:01 JST 2022 | |
# IP Build 3524634 on Mon Apr 18 20:55:01 MDT 2022 | |
# | |
# This file contains the Vivado Tcl commands for re-creating the project to the state* | |
# when this script was generated. In order to re-create the project, please source this | |
# file in the Vivado Tcl Shell. | |
# | |
# * Note that the runs in the created project will be configured the same way as the | |
# original project, however they will not be launched automatically. To regenerate the | |
# run results please launch the synthesis/implementation runs as needed. | |
# | |
#***************************************************************************************** | |
# NOTE: In order to use this script for source control purposes, please make sure that the | |
# following files are added to the source control system:- | |
# | |
# 1. This project restoration tcl script (ultra96_design.tcl) that was generated. | |
# | |
# 2. The following source(s) files that were local or imported into the original project. | |
# (Please see the '$orig_proj_dir' and '$origin_dir' variable setting below at the start of the script) | |
# | |
# "/home/xerpi/Desktop/Research/ultra96_design/ultra96_design/ultra96_design.srcs/sources_1/imports/hdl/design_1_wrapper.v" | |
# | |
# 3. The following remote source files that were added to the original project:- | |
# | |
# <none> | |
# | |
#***************************************************************************************** | |
# Check file required for this script exists | |
proc checkRequiredFiles { origin_dir} { | |
set status true | |
set files [list \ | |
"[file normalize "$origin_dir/Desktop/Research/ultra96_design/ultra96_design/ultra96_design.srcs/sources_1/imports/hdl/design_1_wrapper.v"]"\ | |
] | |
foreach ifile $files { | |
if { ![file isfile $ifile] } { | |
puts " Could not find local file $ifile " | |
set status false | |
} | |
} | |
set paths [list \ | |
"[file normalize "$origin_dir/[file normalize "$origin_dir/Desktop/Research/ultra96_design/ip"]"]"\ | |
] | |
foreach ipath $paths { | |
if { ![file isdirectory $ipath] } { | |
puts " Could not access $ipath " | |
set status false | |
} | |
} | |
return $status | |
} | |
# Set the reference directory for source file relative paths (by default the value is script directory path) | |
set origin_dir "." | |
# Use origin directory path location variable, if specified in the tcl shell | |
if { [info exists ::origin_dir_loc] } { | |
set origin_dir $::origin_dir_loc | |
} | |
# Set the project name | |
set _xil_proj_name_ "ultra96_design" | |
# Use project name variable, if specified in the tcl shell | |
if { [info exists ::user_project_name] } { | |
set _xil_proj_name_ $::user_project_name | |
} | |
variable script_file | |
set script_file "ultra96_design.tcl" | |
# Help information for this script | |
proc print_help {} { | |
variable script_file | |
puts "\nDescription:" | |
puts "Recreate a Vivado project from this script. The created project will be" | |
puts "functionally equivalent to the original project for which this script was" | |
puts "generated. The script contains commands for creating a project, filesets," | |
puts "runs, adding/importing sources and setting properties on various objects.\n" | |
puts "Syntax:" | |
puts "$script_file" | |
puts "$script_file -tclargs \[--origin_dir <path>\]" | |
puts "$script_file -tclargs \[--project_name <name>\]" | |
puts "$script_file -tclargs \[--help\]\n" | |
puts "Usage:" | |
puts "Name Description" | |
puts "-------------------------------------------------------------------------" | |
puts "\[--origin_dir <path>\] Determine source file paths wrt this path. Default" | |
puts " origin_dir path value is \".\", otherwise, the value" | |
puts " that was set with the \"-paths_relative_to\" switch" | |
puts " when this script was generated.\n" | |
puts "\[--project_name <name>\] Create project with the specified name. Default" | |
puts " name is the name of the project from where this" | |
puts " script was generated.\n" | |
puts "\[--help\] Print help information for this script" | |
puts "-------------------------------------------------------------------------\n" | |
exit 0 | |
} | |
if { $::argc > 0 } { | |
for {set i 0} {$i < $::argc} {incr i} { | |
set option [string trim [lindex $::argv $i]] | |
switch -regexp -- $option { | |
"--origin_dir" { incr i; set origin_dir [lindex $::argv $i] } | |
"--project_name" { incr i; set _xil_proj_name_ [lindex $::argv $i] } | |
"--help" { print_help } | |
default { | |
if { [regexp {^-} $option] } { | |
puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n" | |
return 1 | |
} | |
} | |
} | |
} | |
} | |
# Set the directory path for the original project from where this script was exported | |
set orig_proj_dir "[file normalize "$origin_dir/Desktop/Research/ultra96_design/ultra96_design"]" | |
# Check for paths and files needed for project creation | |
set validate_required 0 | |
if { $validate_required } { | |
if { [checkRequiredFiles $origin_dir] } { | |
puts "Tcl file $script_file is valid. All files required for project creation is accesable. " | |
} else { | |
puts "Tcl file $script_file is not valid. Not all files required for project creation is accesable. " | |
return | |
} | |
} | |
# Create project | |
create_project ${_xil_proj_name_} ./${_xil_proj_name_} -part xczu3eg-sbva484-1-i | |
# Set the directory path for the new project | |
set proj_dir [get_property directory [current_project]] | |
# Set project properties | |
set obj [current_project] | |
set_property -name "board_part" -value "avnet.com:ultra96v2:part0:1.2" -objects $obj | |
set_property -name "classic_soc_boot" -value "0" -objects $obj | |
set_property -name "compxlib.activehdl_compiled_library_dir" -value "$proj_dir/${_xil_proj_name_}.cache/compile_simlib/activehdl" -objects $obj | |
set_property -name "compxlib.funcsim" -value "1" -objects $obj | |
set_property -name "compxlib.modelsim_compiled_library_dir" -value "$proj_dir/${_xil_proj_name_}.cache/compile_simlib/modelsim" -objects $obj | |
set_property -name "compxlib.overwrite_libs" -value "0" -objects $obj | |
set_property -name "compxlib.questa_compiled_library_dir" -value "$proj_dir/${_xil_proj_name_}.cache/compile_simlib/questa" -objects $obj | |
set_property -name "compxlib.riviera_compiled_library_dir" -value "$proj_dir/${_xil_proj_name_}.cache/compile_simlib/riviera" -objects $obj | |
set_property -name "compxlib.timesim" -value "1" -objects $obj | |
set_property -name "compxlib.vcs_compiled_library_dir" -value "$proj_dir/${_xil_proj_name_}.cache/compile_simlib/vcs" -objects $obj | |
set_property -name "compxlib.xsim_compiled_library_dir" -value "" -objects $obj | |
set_property -name "corecontainer.enable" -value "0" -objects $obj | |
set_property -name "customized_default_ip_location" -value "" -objects $obj | |
set_property -name "default_lib" -value "xil_defaultlib" -objects $obj | |
set_property -name "enable_optional_runs_sta" -value "0" -objects $obj | |
set_property -name "enable_resource_estimation" -value "0" -objects $obj | |
set_property -name "enable_vhdl_2008" -value "1" -objects $obj | |
set_property -name "generate_ip_upgrade_log" -value "1" -objects $obj | |
set_property -name "ip_cache_permissions" -value "read write" -objects $obj | |
set_property -name "ip_interface_inference_priority" -value "" -objects $obj | |
set_property -name "ip_output_repo" -value "$proj_dir/${_xil_proj_name_}.cache/ip" -objects $obj | |
set_property -name "legacy_ip_repo_paths" -value "" -objects $obj | |
set_property -name "local_ip_repo_leaf_dir_name" -value "ip_repo" -objects $obj | |
set_property -name "mem.enable_memory_map_generation" -value "1" -objects $obj | |
set_property -name "platform.board_id" -value "ultra96v2" -objects $obj | |
set_property -name "platform.default_output_type" -value "undefined" -objects $obj | |
set_property -name "platform.design_intent.datacenter" -value "undefined" -objects $obj | |
set_property -name "platform.design_intent.embedded" -value "undefined" -objects $obj | |
set_property -name "platform.design_intent.external_host" -value "undefined" -objects $obj | |
set_property -name "platform.design_intent.server_managed" -value "undefined" -objects $obj | |
set_property -name "platform.rom.debug_type" -value "0" -objects $obj | |
set_property -name "platform.rom.prom_type" -value "0" -objects $obj | |
set_property -name "platform.slrconstraintmode" -value "0" -objects $obj | |
set_property -name "preferred_sim_model" -value "rtl" -objects $obj | |
set_property -name "project_type" -value "Default" -objects $obj | |
set_property -name "pr_flow" -value "0" -objects $obj | |
set_property -name "revised_directory_structure" -value "1" -objects $obj | |
set_property -name "sim.central_dir" -value "$proj_dir/${_xil_proj_name_}.ip_user_files" -objects $obj | |
set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj | |
set_property -name "sim.use_ip_compiled_libs" -value "1" -objects $obj | |
set_property -name "simulator.activehdl_gcc_install_dir" -value "" -objects $obj | |
set_property -name "simulator.activehdl_install_dir" -value "" -objects $obj | |
set_property -name "simulator.modelsim_gcc_install_dir" -value "" -objects $obj | |
set_property -name "simulator.modelsim_install_dir" -value "" -objects $obj | |
set_property -name "simulator.questa_gcc_install_dir" -value "" -objects $obj | |
set_property -name "simulator.questa_install_dir" -value "" -objects $obj | |
set_property -name "simulator.riviera_gcc_install_dir" -value "" -objects $obj | |
set_property -name "simulator.riviera_install_dir" -value "" -objects $obj | |
set_property -name "simulator.vcs_gcc_install_dir" -value "" -objects $obj | |
set_property -name "simulator.vcs_install_dir" -value "" -objects $obj | |
set_property -name "simulator.xcelium_gcc_install_dir" -value "" -objects $obj | |
set_property -name "simulator.xcelium_install_dir" -value "" -objects $obj | |
set_property -name "simulator_language" -value "Mixed" -objects $obj | |
set_property -name "source_mgmt_mode" -value "All" -objects $obj | |
set_property -name "target_language" -value "Verilog" -objects $obj | |
set_property -name "target_simulator" -value "XSim" -objects $obj | |
set_property -name "tool_flow" -value "Vivado" -objects $obj | |
set_property -name "webtalk.activehdl_export_sim" -value "1" -objects $obj | |
set_property -name "webtalk.modelsim_export_sim" -value "1" -objects $obj | |
set_property -name "webtalk.questa_export_sim" -value "1" -objects $obj | |
set_property -name "webtalk.riviera_export_sim" -value "1" -objects $obj | |
set_property -name "webtalk.vcs_export_sim" -value "1" -objects $obj | |
set_property -name "webtalk.xcelium_export_sim" -value "1" -objects $obj | |
set_property -name "webtalk.xsim_export_sim" -value "1" -objects $obj | |
set_property -name "xpm_libraries" -value "XPM_CDC XPM_FIFO XPM_MEMORY" -objects $obj | |
set_property -name "xsim.array_display_limit" -value "1024" -objects $obj | |
set_property -name "xsim.radix" -value "hex" -objects $obj | |
set_property -name "xsim.time_unit" -value "ns" -objects $obj | |
set_property -name "xsim.trace_limit" -value "65536" -objects $obj | |
# Create 'sources_1' fileset (if not found) | |
if {[string equal [get_filesets -quiet sources_1] ""]} { | |
create_fileset -srcset sources_1 | |
} | |
# Set IP repository paths | |
set obj [get_filesets sources_1] | |
if { $obj != {} } { | |
set_property "ip_repo_paths" "[file normalize "$origin_dir/Desktop/Research/ultra96_design/ip"]" $obj | |
# Rebuild user ip_repo's index before adding any source files | |
update_ip_catalog -rebuild | |
} | |
# Set 'sources_1' fileset object | |
set obj [get_filesets sources_1] | |
# Import local files from the original project | |
set files [list \ | |
[file normalize "${origin_dir}/Desktop/Research/ultra96_design/ultra96_design/ultra96_design.srcs/sources_1/imports/hdl/design_1_wrapper.v"]\ | |
] | |
set imported_files [import_files -fileset sources_1 $files] | |
# Set 'sources_1' fileset file properties for remote files | |
# None | |
# Set 'sources_1' fileset file properties for local files | |
set file "hdl/design_1_wrapper.v" | |
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] | |
set_property -name "file_type" -value "Verilog" -objects $file_obj | |
set_property -name "is_enabled" -value "1" -objects $file_obj | |
set_property -name "is_global_include" -value "0" -objects $file_obj | |
set_property -name "library" -value "xil_defaultlib" -objects $file_obj | |
set_property -name "path_mode" -value "RelativeFirst" -objects $file_obj | |
set_property -name "used_in" -value "synthesis implementation simulation" -objects $file_obj | |
set_property -name "used_in_implementation" -value "1" -objects $file_obj | |
set_property -name "used_in_simulation" -value "1" -objects $file_obj | |
set_property -name "used_in_synthesis" -value "1" -objects $file_obj | |
# Set 'sources_1' fileset properties | |
set obj [get_filesets sources_1] | |
set_property -name "dataflow_viewer_settings" -value "" -objects $obj | |
set_property -name "design_mode" -value "RTL" -objects $obj | |
set_property -name "edif_extra_search_paths" -value "" -objects $obj | |
set_property -name "elab_link_dcps" -value "1" -objects $obj | |
set_property -name "elab_load_timing_constraints" -value "1" -objects $obj | |
set_property -name "generic" -value "" -objects $obj | |
set_property -name "include_dirs" -value "" -objects $obj | |
set_property -name "lib_map_file" -value "" -objects $obj | |
set_property -name "loop_count" -value "1000" -objects $obj | |
set_property -name "name" -value "sources_1" -objects $obj | |
set_property -name "top" -value "design_1_wrapper" -objects $obj | |
set_property -name "verilog_define" -value "" -objects $obj | |
set_property -name "verilog_uppercase" -value "0" -objects $obj | |
set_property -name "verilog_version" -value "verilog_2001" -objects $obj | |
set_property -name "vhdl_version" -value "vhdl_2k" -objects $obj | |
# Create 'constrs_1' fileset (if not found) | |
if {[string equal [get_filesets -quiet constrs_1] ""]} { | |
create_fileset -constrset constrs_1 | |
} | |
# Set 'constrs_1' fileset object | |
set obj [get_filesets constrs_1] | |
# Empty (no sources present) | |
# Set 'constrs_1' fileset properties | |
set obj [get_filesets constrs_1] | |
set_property -name "constrs_type" -value "XDC" -objects $obj | |
set_property -name "name" -value "constrs_1" -objects $obj | |
set_property -name "target_constrs_file" -value "" -objects $obj | |
# Create 'sim_1' fileset (if not found) | |
if {[string equal [get_filesets -quiet sim_1] ""]} { | |
create_fileset -simset sim_1 | |
} | |
# Set 'sim_1' fileset object | |
set obj [get_filesets sim_1] | |
# Empty (no sources present) | |
# Set 'sim_1' fileset properties | |
set obj [get_filesets sim_1] | |
set_property -name "32bit" -value "0" -objects $obj | |
set_property -name "force_compile_glbl" -value "0" -objects $obj | |
set_property -name "force_no_compile_glbl" -value "0" -objects $obj | |
set_property -name "generate_scripts_only" -value "0" -objects $obj | |
set_property -name "generic" -value "" -objects $obj | |
set_property -name "hbs.configure_design_for_hier_access" -value "1" -objects $obj | |
set_property -name "hw_emu.debug_mode" -value "wdb" -objects $obj | |
set_property -name "include_dirs" -value "" -objects $obj | |
set_property -name "incremental" -value "1" -objects $obj | |
set_property -name "name" -value "sim_1" -objects $obj | |
set_property -name "nl.cell" -value "" -objects $obj | |
set_property -name "nl.incl_unisim_models" -value "0" -objects $obj | |
set_property -name "nl.process_corner" -value "slow" -objects $obj | |
set_property -name "nl.rename_top" -value "" -objects $obj | |
set_property -name "nl.sdf_anno" -value "1" -objects $obj | |
set_property -name "nl.write_all_overrides" -value "0" -objects $obj | |
set_property -name "simmodel_value_check" -value "1" -objects $obj | |
set_property -name "simulator_launch_mode" -value "off" -objects $obj | |
set_property -name "source_set" -value "sources_1" -objects $obj | |
set_property -name "systemc_include_dirs" -value "" -objects $obj | |
set_property -name "top" -value "design_1_wrapper" -objects $obj | |
set_property -name "top_lib" -value "xil_defaultlib" -objects $obj | |
set_property -name "transport_int_delay" -value "0" -objects $obj | |
set_property -name "transport_path_delay" -value "0" -objects $obj | |
set_property -name "unifast" -value "0" -objects $obj | |
set_property -name "verilog_define" -value "" -objects $obj | |
set_property -name "verilog_uppercase" -value "0" -objects $obj | |
set_property -name "xelab.dll" -value "0" -objects $obj | |
set_property -name "xsim.compile.tcl.pre" -value "" -objects $obj | |
set_property -name "xsim.compile.xsc.more_options" -value "" -objects $obj | |
set_property -name "xsim.compile.xvhdl.more_options" -value "" -objects $obj | |
set_property -name "xsim.compile.xvhdl.nosort" -value "1" -objects $obj | |
set_property -name "xsim.compile.xvhdl.relax" -value "1" -objects $obj | |
set_property -name "xsim.compile.xvlog.more_options" -value "" -objects $obj | |
set_property -name "xsim.compile.xvlog.nosort" -value "1" -objects $obj | |
set_property -name "xsim.compile.xvlog.relax" -value "1" -objects $obj | |
set_property -name "xsim.elaborate.coverage.celldefine" -value "0" -objects $obj | |
set_property -name "xsim.elaborate.coverage.dir" -value "" -objects $obj | |
set_property -name "xsim.elaborate.coverage.library" -value "0" -objects $obj | |
set_property -name "xsim.elaborate.coverage.name" -value "" -objects $obj | |
set_property -name "xsim.elaborate.coverage.type" -value "" -objects $obj | |
set_property -name "xsim.elaborate.debug_level" -value "typical" -objects $obj | |
set_property -name "xsim.elaborate.link.c" -value "" -objects $obj | |
set_property -name "xsim.elaborate.link.sysc" -value "" -objects $obj | |
set_property -name "xsim.elaborate.load_glbl" -value "1" -objects $obj | |
set_property -name "xsim.elaborate.mt_level" -value "auto" -objects $obj | |
set_property -name "xsim.elaborate.rangecheck" -value "0" -objects $obj | |
set_property -name "xsim.elaborate.relax" -value "1" -objects $obj | |
set_property -name "xsim.elaborate.sdf_delay" -value "sdfmax" -objects $obj | |
set_property -name "xsim.elaborate.snapshot" -value "" -objects $obj | |
set_property -name "xsim.elaborate.xelab.more_options" -value "" -objects $obj | |
set_property -name "xsim.elaborate.xsc.more_options" -value "" -objects $obj | |
set_property -name "xsim.simulate.add_positional" -value "0" -objects $obj | |
set_property -name "xsim.simulate.custom_tcl" -value "" -objects $obj | |
set_property -name "xsim.simulate.log_all_signals" -value "0" -objects $obj | |
set_property -name "xsim.simulate.no_quit" -value "0" -objects $obj | |
set_property -name "xsim.simulate.runtime" -value "1000ns" -objects $obj | |
set_property -name "xsim.simulate.saif" -value "" -objects $obj | |
set_property -name "xsim.simulate.saif_all_signals" -value "0" -objects $obj | |
set_property -name "xsim.simulate.saif_scope" -value "" -objects $obj | |
set_property -name "xsim.simulate.tcl.post" -value "" -objects $obj | |
set_property -name "xsim.simulate.wdb" -value "" -objects $obj | |
set_property -name "xsim.simulate.xsim.more_options" -value "" -objects $obj | |
# Set 'utils_1' fileset object | |
set obj [get_filesets utils_1] | |
# Empty (no sources present) | |
# Set 'utils_1' fileset properties | |
set obj [get_filesets utils_1] | |
set_property -name "name" -value "utils_1" -objects $obj | |
# Adding sources referenced in BDs, if not already added | |
# Proc to create BD design_1 | |
proc cr_bd_design_1 { parentCell } { | |
# CHANGE DESIGN NAME HERE | |
set design_name design_1 | |
common::send_gid_msg -ssname BD::TCL -id 2010 -severity "INFO" "Currently there is no design <$design_name> in project, so creating one..." | |
create_bd_design $design_name | |
set bCheckIPsPassed 1 | |
################################################################## | |
# CHECK IPs | |
################################################################## | |
set bCheckIPs 1 | |
if { $bCheckIPs == 1 } { | |
set list_check_ips "\ | |
xilinx.com:ip:axi_vdma:6.3\ | |
xilinx.com:ip:clk_wiz:6.0\ | |
xilinx.com:hls:demosaic_root:1.0\ | |
xilinx.com:ip:mipi_csi2_rx_subsystem:5.1\ | |
xilinx.com:ip:proc_sys_reset:5.0\ | |
xilinx.com:ip:util_vector_logic:2.0\ | |
xilinx.com:ip:zynq_ultra_ps_e:3.4\ | |
" | |
set list_ips_missing "" | |
common::send_gid_msg -ssname BD::TCL -id 2011 -severity "INFO" "Checking if the following IPs exist in the project's IP catalog: $list_check_ips ." | |
foreach ip_vlnv $list_check_ips { | |
set ip_obj [get_ipdefs -all $ip_vlnv] | |
if { $ip_obj eq "" } { | |
lappend list_ips_missing $ip_vlnv | |
} | |
} | |
if { $list_ips_missing ne "" } { | |
catch {common::send_gid_msg -ssname BD::TCL -id 2012 -severity "ERROR" "The following IPs are not found in the IP Catalog:\n $list_ips_missing\n\nResolution: Please add the repository containing the IP(s) to the project." } | |
set bCheckIPsPassed 0 | |
} | |
} | |
if { $bCheckIPsPassed != 1 } { | |
common::send_gid_msg -ssname BD::TCL -id 2023 -severity "WARNING" "Will not continue with creation of design due to the error(s) above." | |
return 3 | |
} | |
variable script_folder | |
if { $parentCell eq "" } { | |
set parentCell [get_bd_cells /] | |
} | |
# Get object for parentCell | |
set parentObj [get_bd_cells $parentCell] | |
if { $parentObj == "" } { | |
catch {common::send_gid_msg -ssname BD::TCL -id 2090 -severity "ERROR" "Unable to find parent cell <$parentCell>!"} | |
return | |
} | |
# Make sure parentObj is hier blk | |
set parentType [get_property TYPE $parentObj] | |
if { $parentType ne "hier" } { | |
catch {common::send_gid_msg -ssname BD::TCL -id 2091 -severity "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."} | |
return | |
} | |
# Save current instance; Restore later | |
set oldCurInst [current_bd_instance .] | |
# Set parent object as current | |
current_bd_instance $parentObj | |
# Create interface ports | |
set mipi_phy_csi [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:mipi_phy_rtl:1.0 mipi_phy_csi ] | |
# Create ports | |
# Create instance: axi_vdma_0, and set properties | |
set axi_vdma_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_vdma:6.3 axi_vdma_0 ] | |
set_property -dict [ list \ | |
CONFIG.c_include_mm2s {0} \ | |
CONFIG.c_m_axi_s2mm_data_width {128} \ | |
CONFIG.c_mm2s_genlock_mode {0} \ | |
] $axi_vdma_0 | |
# Create instance: clk_wiz_0, and set properties | |
set clk_wiz_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:clk_wiz:6.0 clk_wiz_0 ] | |
set_property -dict [ list \ | |
CONFIG.CLKOUT1_JITTER {102.086} \ | |
CONFIG.CLKOUT1_REQUESTED_OUT_FREQ {200.000} \ | |
CONFIG.CLKOUT2_JITTER {115.831} \ | |
CONFIG.CLKOUT2_PHASE_ERROR {87.180} \ | |
CONFIG.CLKOUT2_USED {false} \ | |
CONFIG.MMCM_CLKOUT0_DIVIDE_F {6.000} \ | |
CONFIG.MMCM_CLKOUT1_DIVIDE {1} \ | |
CONFIG.MMCM_DIVCLK_DIVIDE {1} \ | |
CONFIG.NUM_OUT_CLKS {1} \ | |
] $clk_wiz_0 | |
# Create instance: demosaic_root_0, and set properties | |
set demosaic_root_0 [ create_bd_cell -type ip -vlnv xilinx.com:hls:demosaic_root:1.0 demosaic_root_0 ] | |
# Create instance: mipi_csi2_rx_subsyst_0, and set properties | |
set mipi_csi2_rx_subsyst_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:mipi_csi2_rx_subsystem:5.1 mipi_csi2_rx_subsyst_0 ] | |
set_property -dict [ list \ | |
CONFIG.CLK_LANE_IO_LOC {N2} \ | |
CONFIG.CLK_LANE_IO_LOC_NAME {IO_L7P_T1L_N0_QBC_AD13P_65} \ | |
CONFIG.CMN_NUM_LANES {2} \ | |
CONFIG.CMN_NUM_PIXELS {4} \ | |
CONFIG.CMN_PXL_FORMAT {RAW10} \ | |
CONFIG.CMN_VC {All} \ | |
CONFIG.C_CLK_LANE_IO_POSITION {13} \ | |
CONFIG.C_DATA_LANE0_IO_POSITION {15} \ | |
CONFIG.C_DATA_LANE1_IO_POSITION {17} \ | |
CONFIG.C_DPHY_LANES {2} \ | |
CONFIG.C_EN_BG0_PIN0 {false} \ | |
CONFIG.C_HS_LINE_RATE {1000} \ | |
CONFIG.C_HS_SETTLE_NS {145} \ | |
CONFIG.DATA_LANE0_IO_LOC {N5} \ | |
CONFIG.DATA_LANE0_IO_LOC_NAME {IO_L8P_T1L_N2_AD5P_65} \ | |
CONFIG.DATA_LANE1_IO_LOC {M2} \ | |
CONFIG.DATA_LANE1_IO_LOC_NAME {IO_L9P_T1L_N4_AD12P_65} \ | |
CONFIG.DPY_LINE_RATE {1000} \ | |
CONFIG.SupportLevel {1} \ | |
] $mipi_csi2_rx_subsyst_0 | |
# Create instance: ps8_0_axi_periph, and set properties | |
set ps8_0_axi_periph [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 ps8_0_axi_periph ] | |
set_property -dict [ list \ | |
CONFIG.NUM_MI {3} \ | |
] $ps8_0_axi_periph | |
# Create instance: rst_ps8_0_99M, and set properties | |
set rst_ps8_0_99M [ create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 rst_ps8_0_99M ] | |
# Create instance: util_vector_logic_0, and set properties | |
set util_vector_logic_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:util_vector_logic:2.0 util_vector_logic_0 ] | |
set_property -dict [ list \ | |
CONFIG.C_OPERATION {not} \ | |
CONFIG.C_SIZE {1} \ | |
] $util_vector_logic_0 | |
# Create instance: zynq_ultra_ps_e_0, and set properties | |
set zynq_ultra_ps_e_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:zynq_ultra_ps_e:3.4 zynq_ultra_ps_e_0 ] | |
set_property -dict [ list \ | |
CONFIG.CAN0_BOARD_INTERFACE {custom} \ | |
CONFIG.CAN1_BOARD_INTERFACE {custom} \ | |
CONFIG.CSU_BOARD_INTERFACE {custom} \ | |
CONFIG.DP_BOARD_INTERFACE {custom} \ | |
CONFIG.GEM0_BOARD_INTERFACE {custom} \ | |
CONFIG.GEM1_BOARD_INTERFACE {custom} \ | |
CONFIG.GEM2_BOARD_INTERFACE {custom} \ | |
CONFIG.GEM3_BOARD_INTERFACE {custom} \ | |
CONFIG.GPIO_BOARD_INTERFACE {custom} \ | |
CONFIG.IIC0_BOARD_INTERFACE {custom} \ | |
CONFIG.IIC1_BOARD_INTERFACE {custom} \ | |
CONFIG.NAND_BOARD_INTERFACE {custom} \ | |
CONFIG.PCIE_BOARD_INTERFACE {custom} \ | |
CONFIG.PJTAG_BOARD_INTERFACE {custom} \ | |
CONFIG.PMU_BOARD_INTERFACE {custom} \ | |
CONFIG.PSU_BANK_0_IO_STANDARD {LVCMOS18} \ | |
CONFIG.PSU_BANK_1_IO_STANDARD {LVCMOS18} \ | |
CONFIG.PSU_BANK_2_IO_STANDARD {LVCMOS18} \ | |
CONFIG.PSU_BANK_3_IO_STANDARD {LVCMOS18} \ | |
CONFIG.PSU_DDR_RAM_HIGHADDR {0x7FFFFFFF} \ | |
CONFIG.PSU_DDR_RAM_HIGHADDR_OFFSET {0x00000002} \ | |
CONFIG.PSU_DDR_RAM_LOWADDR_OFFSET {0x80000000} \ | |
CONFIG.PSU_DYNAMIC_DDR_CONFIG_EN {0} \ | |
CONFIG.PSU_IMPORT_BOARD_PRESET {} \ | |
CONFIG.PSU_MIO_0_DIRECTION {out} \ | |
CONFIG.PSU_MIO_0_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_0_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_0_POLARITY {Default} \ | |
CONFIG.PSU_MIO_0_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_0_SLEW {fast} \ | |
CONFIG.PSU_MIO_10_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_10_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_10_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_10_POLARITY {Default} \ | |
CONFIG.PSU_MIO_10_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_10_SLEW {fast} \ | |
CONFIG.PSU_MIO_11_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_11_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_11_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_11_POLARITY {Default} \ | |
CONFIG.PSU_MIO_11_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_11_SLEW {fast} \ | |
CONFIG.PSU_MIO_12_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_12_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_12_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_12_POLARITY {Default} \ | |
CONFIG.PSU_MIO_12_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_12_SLEW {fast} \ | |
CONFIG.PSU_MIO_13_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_13_DRIVE_STRENGTH {4} \ | |
CONFIG.PSU_MIO_13_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_13_POLARITY {Default} \ | |
CONFIG.PSU_MIO_13_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_13_SLEW {fast} \ | |
CONFIG.PSU_MIO_14_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_14_DRIVE_STRENGTH {4} \ | |
CONFIG.PSU_MIO_14_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_14_POLARITY {Default} \ | |
CONFIG.PSU_MIO_14_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_14_SLEW {fast} \ | |
CONFIG.PSU_MIO_15_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_15_DRIVE_STRENGTH {4} \ | |
CONFIG.PSU_MIO_15_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_15_POLARITY {Default} \ | |
CONFIG.PSU_MIO_15_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_15_SLEW {fast} \ | |
CONFIG.PSU_MIO_16_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_16_DRIVE_STRENGTH {4} \ | |
CONFIG.PSU_MIO_16_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_16_POLARITY {Default} \ | |
CONFIG.PSU_MIO_16_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_16_SLEW {fast} \ | |
CONFIG.PSU_MIO_17_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_17_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_17_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_17_POLARITY {Default} \ | |
CONFIG.PSU_MIO_17_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_17_SLEW {fast} \ | |
CONFIG.PSU_MIO_18_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_18_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_18_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_18_POLARITY {Default} \ | |
CONFIG.PSU_MIO_18_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_18_SLEW {fast} \ | |
CONFIG.PSU_MIO_19_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_19_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_19_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_19_POLARITY {Default} \ | |
CONFIG.PSU_MIO_19_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_19_SLEW {fast} \ | |
CONFIG.PSU_MIO_1_DIRECTION {in} \ | |
CONFIG.PSU_MIO_1_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_1_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_1_POLARITY {Default} \ | |
CONFIG.PSU_MIO_1_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_1_SLEW {fast} \ | |
CONFIG.PSU_MIO_20_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_20_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_20_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_20_POLARITY {Default} \ | |
CONFIG.PSU_MIO_20_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_20_SLEW {fast} \ | |
CONFIG.PSU_MIO_21_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_21_DRIVE_STRENGTH {4} \ | |
CONFIG.PSU_MIO_21_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_21_POLARITY {Default} \ | |
CONFIG.PSU_MIO_21_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_21_SLEW {fast} \ | |
CONFIG.PSU_MIO_22_DIRECTION {out} \ | |
CONFIG.PSU_MIO_22_DRIVE_STRENGTH {4} \ | |
CONFIG.PSU_MIO_22_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_22_POLARITY {Default} \ | |
CONFIG.PSU_MIO_22_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_22_SLEW {fast} \ | |
CONFIG.PSU_MIO_23_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_23_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_23_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_23_POLARITY {Default} \ | |
CONFIG.PSU_MIO_23_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_23_SLEW {fast} \ | |
CONFIG.PSU_MIO_24_DIRECTION {in} \ | |
CONFIG.PSU_MIO_24_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_24_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_24_POLARITY {Default} \ | |
CONFIG.PSU_MIO_24_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_24_SLEW {fast} \ | |
CONFIG.PSU_MIO_25_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_25_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_25_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_25_POLARITY {Default} \ | |
CONFIG.PSU_MIO_25_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_25_SLEW {fast} \ | |
CONFIG.PSU_MIO_26_DIRECTION {in} \ | |
CONFIG.PSU_MIO_26_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_26_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_26_POLARITY {Default} \ | |
CONFIG.PSU_MIO_26_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_26_SLEW {fast} \ | |
CONFIG.PSU_MIO_27_DIRECTION {out} \ | |
CONFIG.PSU_MIO_27_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_27_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_27_POLARITY {Default} \ | |
CONFIG.PSU_MIO_27_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_27_SLEW {fast} \ | |
CONFIG.PSU_MIO_28_DIRECTION {in} \ | |
CONFIG.PSU_MIO_28_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_28_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_28_POLARITY {Default} \ | |
CONFIG.PSU_MIO_28_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_28_SLEW {fast} \ | |
CONFIG.PSU_MIO_29_DIRECTION {out} \ | |
CONFIG.PSU_MIO_29_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_29_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_29_POLARITY {Default} \ | |
CONFIG.PSU_MIO_29_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_29_SLEW {fast} \ | |
CONFIG.PSU_MIO_2_DIRECTION {in} \ | |
CONFIG.PSU_MIO_2_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_2_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_2_POLARITY {Default} \ | |
CONFIG.PSU_MIO_2_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_2_SLEW {fast} \ | |
CONFIG.PSU_MIO_30_DIRECTION {in} \ | |
CONFIG.PSU_MIO_30_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_30_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_30_POLARITY {Default} \ | |
CONFIG.PSU_MIO_30_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_30_SLEW {fast} \ | |
CONFIG.PSU_MIO_31_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_31_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_31_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_31_POLARITY {Default} \ | |
CONFIG.PSU_MIO_31_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_31_SLEW {fast} \ | |
CONFIG.PSU_MIO_32_DIRECTION {out} \ | |
CONFIG.PSU_MIO_32_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_32_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_32_POLARITY {Default} \ | |
CONFIG.PSU_MIO_32_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_32_SLEW {fast} \ | |
CONFIG.PSU_MIO_33_DIRECTION {out} \ | |
CONFIG.PSU_MIO_33_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_33_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_33_POLARITY {Default} \ | |
CONFIG.PSU_MIO_33_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_33_SLEW {fast} \ | |
CONFIG.PSU_MIO_34_DIRECTION {out} \ | |
CONFIG.PSU_MIO_34_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_34_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_34_POLARITY {Default} \ | |
CONFIG.PSU_MIO_34_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_34_SLEW {fast} \ | |
CONFIG.PSU_MIO_35_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_35_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_35_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_35_POLARITY {Default} \ | |
CONFIG.PSU_MIO_35_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_35_SLEW {fast} \ | |
CONFIG.PSU_MIO_36_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_36_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_36_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_36_POLARITY {Default} \ | |
CONFIG.PSU_MIO_36_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_36_SLEW {fast} \ | |
CONFIG.PSU_MIO_37_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_37_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_37_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_37_POLARITY {Default} \ | |
CONFIG.PSU_MIO_37_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_37_SLEW {fast} \ | |
CONFIG.PSU_MIO_38_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_38_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_38_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_38_POLARITY {Default} \ | |
CONFIG.PSU_MIO_38_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_38_SLEW {fast} \ | |
CONFIG.PSU_MIO_39_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_39_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_39_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_39_POLARITY {Default} \ | |
CONFIG.PSU_MIO_39_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_39_SLEW {slow} \ | |
CONFIG.PSU_MIO_3_DIRECTION {out} \ | |
CONFIG.PSU_MIO_3_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_3_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_3_POLARITY {Default} \ | |
CONFIG.PSU_MIO_3_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_3_SLEW {fast} \ | |
CONFIG.PSU_MIO_40_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_40_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_40_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_40_POLARITY {Default} \ | |
CONFIG.PSU_MIO_40_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_40_SLEW {fast} \ | |
CONFIG.PSU_MIO_41_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_41_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_41_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_41_POLARITY {Default} \ | |
CONFIG.PSU_MIO_41_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_41_SLEW {fast} \ | |
CONFIG.PSU_MIO_42_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_42_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_42_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_42_POLARITY {Default} \ | |
CONFIG.PSU_MIO_42_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_42_SLEW {fast} \ | |
CONFIG.PSU_MIO_43_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_43_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_43_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_43_POLARITY {Default} \ | |
CONFIG.PSU_MIO_43_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_43_SLEW {fast} \ | |
CONFIG.PSU_MIO_44_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_44_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_44_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_44_POLARITY {Default} \ | |
CONFIG.PSU_MIO_44_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_44_SLEW {fast} \ | |
CONFIG.PSU_MIO_45_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_45_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_45_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_45_POLARITY {Default} \ | |
CONFIG.PSU_MIO_45_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_45_SLEW {fast} \ | |
CONFIG.PSU_MIO_46_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_46_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_46_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_46_POLARITY {Default} \ | |
CONFIG.PSU_MIO_46_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_46_SLEW {fast} \ | |
CONFIG.PSU_MIO_47_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_47_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_47_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_47_POLARITY {Default} \ | |
CONFIG.PSU_MIO_47_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_47_SLEW {fast} \ | |
CONFIG.PSU_MIO_48_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_48_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_48_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_48_POLARITY {Default} \ | |
CONFIG.PSU_MIO_48_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_48_SLEW {fast} \ | |
CONFIG.PSU_MIO_49_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_49_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_49_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_49_POLARITY {Default} \ | |
CONFIG.PSU_MIO_49_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_49_SLEW {fast} \ | |
CONFIG.PSU_MIO_4_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_4_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_4_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_4_POLARITY {Default} \ | |
CONFIG.PSU_MIO_4_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_4_SLEW {fast} \ | |
CONFIG.PSU_MIO_50_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_50_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_50_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_50_POLARITY {Default} \ | |
CONFIG.PSU_MIO_50_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_50_SLEW {fast} \ | |
CONFIG.PSU_MIO_51_DIRECTION {out} \ | |
CONFIG.PSU_MIO_51_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_51_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_51_POLARITY {Default} \ | |
CONFIG.PSU_MIO_51_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_51_SLEW {fast} \ | |
CONFIG.PSU_MIO_52_DIRECTION {in} \ | |
CONFIG.PSU_MIO_52_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_52_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_52_POLARITY {Default} \ | |
CONFIG.PSU_MIO_52_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_52_SLEW {fast} \ | |
CONFIG.PSU_MIO_53_DIRECTION {in} \ | |
CONFIG.PSU_MIO_53_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_53_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_53_POLARITY {Default} \ | |
CONFIG.PSU_MIO_53_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_53_SLEW {fast} \ | |
CONFIG.PSU_MIO_54_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_54_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_54_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_54_POLARITY {Default} \ | |
CONFIG.PSU_MIO_54_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_54_SLEW {fast} \ | |
CONFIG.PSU_MIO_55_DIRECTION {in} \ | |
CONFIG.PSU_MIO_55_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_55_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_55_POLARITY {Default} \ | |
CONFIG.PSU_MIO_55_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_55_SLEW {fast} \ | |
CONFIG.PSU_MIO_56_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_56_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_56_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_56_POLARITY {Default} \ | |
CONFIG.PSU_MIO_56_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_56_SLEW {fast} \ | |
CONFIG.PSU_MIO_57_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_57_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_57_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_57_POLARITY {Default} \ | |
CONFIG.PSU_MIO_57_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_57_SLEW {fast} \ | |
CONFIG.PSU_MIO_58_DIRECTION {out} \ | |
CONFIG.PSU_MIO_58_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_58_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_58_POLARITY {Default} \ | |
CONFIG.PSU_MIO_58_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_58_SLEW {fast} \ | |
CONFIG.PSU_MIO_59_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_59_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_59_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_59_POLARITY {Default} \ | |
CONFIG.PSU_MIO_59_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_59_SLEW {fast} \ | |
CONFIG.PSU_MIO_5_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_5_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_5_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_5_POLARITY {Default} \ | |
CONFIG.PSU_MIO_5_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_5_SLEW {fast} \ | |
CONFIG.PSU_MIO_60_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_60_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_60_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_60_POLARITY {Default} \ | |
CONFIG.PSU_MIO_60_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_60_SLEW {fast} \ | |
CONFIG.PSU_MIO_61_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_61_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_61_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_61_POLARITY {Default} \ | |
CONFIG.PSU_MIO_61_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_61_SLEW {fast} \ | |
CONFIG.PSU_MIO_62_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_62_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_62_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_62_POLARITY {Default} \ | |
CONFIG.PSU_MIO_62_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_62_SLEW {fast} \ | |
CONFIG.PSU_MIO_63_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_63_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_63_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_63_POLARITY {Default} \ | |
CONFIG.PSU_MIO_63_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_63_SLEW {fast} \ | |
CONFIG.PSU_MIO_64_DIRECTION {in} \ | |
CONFIG.PSU_MIO_64_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_64_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_64_POLARITY {Default} \ | |
CONFIG.PSU_MIO_64_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_64_SLEW {fast} \ | |
CONFIG.PSU_MIO_65_DIRECTION {in} \ | |
CONFIG.PSU_MIO_65_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_65_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_65_POLARITY {Default} \ | |
CONFIG.PSU_MIO_65_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_65_SLEW {fast} \ | |
CONFIG.PSU_MIO_66_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_66_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_66_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_66_POLARITY {Default} \ | |
CONFIG.PSU_MIO_66_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_66_SLEW {fast} \ | |
CONFIG.PSU_MIO_67_DIRECTION {in} \ | |
CONFIG.PSU_MIO_67_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_67_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_67_POLARITY {Default} \ | |
CONFIG.PSU_MIO_67_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_67_SLEW {fast} \ | |
CONFIG.PSU_MIO_68_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_68_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_68_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_68_POLARITY {Default} \ | |
CONFIG.PSU_MIO_68_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_68_SLEW {fast} \ | |
CONFIG.PSU_MIO_69_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_69_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_69_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_69_POLARITY {Default} \ | |
CONFIG.PSU_MIO_69_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_69_SLEW {fast} \ | |
CONFIG.PSU_MIO_6_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_6_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_6_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_6_POLARITY {Default} \ | |
CONFIG.PSU_MIO_6_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_6_SLEW {fast} \ | |
CONFIG.PSU_MIO_70_DIRECTION {out} \ | |
CONFIG.PSU_MIO_70_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_70_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_70_POLARITY {Default} \ | |
CONFIG.PSU_MIO_70_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_70_SLEW {fast} \ | |
CONFIG.PSU_MIO_71_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_71_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_71_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_71_POLARITY {Default} \ | |
CONFIG.PSU_MIO_71_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_71_SLEW {fast} \ | |
CONFIG.PSU_MIO_72_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_72_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_72_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_72_POLARITY {Default} \ | |
CONFIG.PSU_MIO_72_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_72_SLEW {fast} \ | |
CONFIG.PSU_MIO_73_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_73_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_73_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_73_POLARITY {Default} \ | |
CONFIG.PSU_MIO_73_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_73_SLEW {fast} \ | |
CONFIG.PSU_MIO_74_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_74_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_74_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_74_POLARITY {Default} \ | |
CONFIG.PSU_MIO_74_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_74_SLEW {fast} \ | |
CONFIG.PSU_MIO_75_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_75_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_75_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_75_POLARITY {Default} \ | |
CONFIG.PSU_MIO_75_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_75_SLEW {fast} \ | |
CONFIG.PSU_MIO_76_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_76_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_76_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_76_POLARITY {Default} \ | |
CONFIG.PSU_MIO_76_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_76_SLEW {fast} \ | |
CONFIG.PSU_MIO_77_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_77_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_77_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_77_POLARITY {Default} \ | |
CONFIG.PSU_MIO_77_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_77_SLEW {fast} \ | |
CONFIG.PSU_MIO_7_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_7_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_7_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_7_POLARITY {Default} \ | |
CONFIG.PSU_MIO_7_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_7_SLEW {fast} \ | |
CONFIG.PSU_MIO_8_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_8_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_8_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_8_POLARITY {Default} \ | |
CONFIG.PSU_MIO_8_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_8_SLEW {fast} \ | |
CONFIG.PSU_MIO_9_DIRECTION {inout} \ | |
CONFIG.PSU_MIO_9_DRIVE_STRENGTH {12} \ | |
CONFIG.PSU_MIO_9_INPUT_TYPE {cmos} \ | |
CONFIG.PSU_MIO_9_POLARITY {Default} \ | |
CONFIG.PSU_MIO_9_PULLUPDOWN {pullup} \ | |
CONFIG.PSU_MIO_9_SLEW {fast} \ | |
CONFIG.PSU_MIO_TREE_PERIPHERALS {\ | |
UART 1#UART 1#UART 0#UART 0#I2C 1#I2C 1#SPI 1#GPIO0 MIO#GPIO0 MIO#SPI 1#SPI\ | |
1#SPI 1#GPIO0 MIO#SD 0#SD 0#SD 0#SD 0#GPIO0 MIO#GPIO0 MIO#GPIO0 MIO#GPIO0\ | |
MIO#SD 0#SD 0#GPIO0 MIO#SD 0#GPIO0 MIO#PMU GPI 0#DPAUX#DPAUX#DPAUX#DPAUX#GPIO1\ | |
MIO#PMU GPO 0#PMU GPO 1#PMU GPO 2#GPIO1 MIO#GPIO1 MIO#GPIO1 MIO#SPI 0#GPIO1\ | |
MIO#GPIO1 MIO#SPI 0#SPI 0#SPI 0#GPIO1 MIO#GPIO1 MIO#SD 1#SD 1#SD 1#SD 1#SD 1#SD\ | |
1#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB\ | |
1#USB 1#USB 1#USB 1#USB 1#USB 1#USB 1#USB 1#USB 1#USB 1#USB 1#USB 1#GPIO2\ | |
MIO#GPIO2 MIO} \ | |
CONFIG.PSU_MIO_TREE_SIGNALS {\ | |
txd#rxd#rxd#txd#scl_out#sda_out#sclk_out#gpio0[7]#gpio0[8]#n_ss_out[0]#miso#mosi#gpio0[12]#sdio0_data_out[0]#sdio0_data_out[1]#sdio0_data_out[2]#sdio0_data_out[3]#gpio0[17]#gpio0[18]#gpio0[19]#gpio0[20]#sdio0_cmd_out#sdio0_clk_out#gpio0[23]#sdio0_cd_n#gpio0[25]#gpi[0]#dp_aux_data_out#dp_hot_plug_detect#dp_aux_data_oe#dp_aux_data_in#gpio1[31]#gpo[0]#gpo[1]#gpo[2]#gpio1[35]#gpio1[36]#gpio1[37]#sclk_out#gpio1[39]#gpio1[40]#n_ss_out[0]#miso#mosi#gpio1[44]#gpio1[45]#sdio1_data_out[0]#sdio1_data_out[1]#sdio1_data_out[2]#sdio1_data_out[3]#sdio1_cmd_out#sdio1_clk_out#ulpi_clk_in#ulpi_dir#ulpi_tx_data[2]#ulpi_nxt#ulpi_tx_data[0]#ulpi_tx_data[1]#ulpi_stp#ulpi_tx_data[3]#ulpi_tx_data[4]#ulpi_tx_data[5]#ulpi_tx_data[6]#ulpi_tx_data[7]#ulpi_clk_in#ulpi_dir#ulpi_tx_data[2]#ulpi_nxt#ulpi_tx_data[0]#ulpi_tx_data[1]#ulpi_stp#ulpi_tx_data[3]#ulpi_tx_data[4]#ulpi_tx_data[5]#ulpi_tx_data[6]#ulpi_tx_data[7]#gpio2[76]#gpio2[77]} \ | |
CONFIG.PSU_PERIPHERAL_BOARD_PRESET {} \ | |
CONFIG.PSU_SD0_INTERNAL_BUS_WIDTH {4} \ | |
CONFIG.PSU_SD1_INTERNAL_BUS_WIDTH {4} \ | |
CONFIG.PSU_SMC_CYCLE_T0 {NA} \ | |
CONFIG.PSU_SMC_CYCLE_T1 {NA} \ | |
CONFIG.PSU_SMC_CYCLE_T2 {NA} \ | |
CONFIG.PSU_SMC_CYCLE_T3 {NA} \ | |
CONFIG.PSU_SMC_CYCLE_T4 {NA} \ | |
CONFIG.PSU_SMC_CYCLE_T5 {NA} \ | |
CONFIG.PSU_SMC_CYCLE_T6 {NA} \ | |
CONFIG.PSU_USB3__DUAL_CLOCK_ENABLE {1} \ | |
CONFIG.PSU_VALUE_SILVERSION {3} \ | |
CONFIG.PSU__ACPU0__POWER__ON {1} \ | |
CONFIG.PSU__ACPU1__POWER__ON {1} \ | |
CONFIG.PSU__ACPU2__POWER__ON {1} \ | |
CONFIG.PSU__ACPU3__POWER__ON {1} \ | |
CONFIG.PSU__ACTUAL__IP {1} \ | |
CONFIG.PSU__ACT_DDR_FREQ_MHZ {533.333313} \ | |
CONFIG.PSU__AFI0_COHERENCY {0} \ | |
CONFIG.PSU__AFI1_COHERENCY {0} \ | |
CONFIG.PSU__AUX_REF_CLK__FREQMHZ {33.333} \ | |
CONFIG.PSU__CAN0_LOOP_CAN1__ENABLE {0} \ | |
CONFIG.PSU__CAN0__GRP_CLK__ENABLE {0} \ | |
CONFIG.PSU__CAN0__PERIPHERAL__ENABLE {0} \ | |
CONFIG.PSU__CAN1__GRP_CLK__ENABLE {0} \ | |
CONFIG.PSU__CAN1__PERIPHERAL__ENABLE {0} \ | |
CONFIG.PSU__CRF_APB__ACPU_CTRL__ACT_FREQMHZ {1200.000000} \ | |
CONFIG.PSU__CRF_APB__ACPU_CTRL__DIVISOR0 {1} \ | |
CONFIG.PSU__CRF_APB__ACPU_CTRL__FREQMHZ {1200} \ | |
CONFIG.PSU__CRF_APB__ACPU_CTRL__SRCSEL {APLL} \ | |
CONFIG.PSU__CRF_APB__ACPU__FRAC_ENABLED {0} \ | |
CONFIG.PSU__CRF_APB__AFI0_REF_CTRL__ACT_FREQMHZ {667} \ | |
CONFIG.PSU__CRF_APB__AFI0_REF_CTRL__DIVISOR0 {2} \ | |
CONFIG.PSU__CRF_APB__AFI0_REF_CTRL__FREQMHZ {667} \ | |
CONFIG.PSU__CRF_APB__AFI0_REF_CTRL__SRCSEL {DPLL} \ | |
CONFIG.PSU__CRF_APB__AFI0_REF__ENABLE {0} \ | |
CONFIG.PSU__CRF_APB__AFI1_REF_CTRL__ACT_FREQMHZ {667} \ | |
CONFIG.PSU__CRF_APB__AFI1_REF_CTRL__DIVISOR0 {2} \ | |
CONFIG.PSU__CRF_APB__AFI1_REF_CTRL__FREQMHZ {667} \ | |
CONFIG.PSU__CRF_APB__AFI1_REF_CTRL__SRCSEL {DPLL} \ | |
CONFIG.PSU__CRF_APB__AFI1_REF__ENABLE {0} \ | |
CONFIG.PSU__CRF_APB__AFI2_REF_CTRL__ACT_FREQMHZ {667} \ | |
CONFIG.PSU__CRF_APB__AFI2_REF_CTRL__DIVISOR0 {2} \ | |
CONFIG.PSU__CRF_APB__AFI2_REF_CTRL__FREQMHZ {667} \ | |
CONFIG.PSU__CRF_APB__AFI2_REF_CTRL__SRCSEL {DPLL} \ | |
CONFIG.PSU__CRF_APB__AFI2_REF__ENABLE {0} \ | |
CONFIG.PSU__CRF_APB__AFI3_REF_CTRL__ACT_FREQMHZ {667} \ | |
CONFIG.PSU__CRF_APB__AFI3_REF_CTRL__DIVISOR0 {2} \ | |
CONFIG.PSU__CRF_APB__AFI3_REF_CTRL__FREQMHZ {667} \ | |
CONFIG.PSU__CRF_APB__AFI3_REF_CTRL__SRCSEL {DPLL} \ | |
CONFIG.PSU__CRF_APB__AFI3_REF__ENABLE {0} \ | |
CONFIG.PSU__CRF_APB__AFI4_REF_CTRL__ACT_FREQMHZ {667} \ | |
CONFIG.PSU__CRF_APB__AFI4_REF_CTRL__DIVISOR0 {2} \ | |
CONFIG.PSU__CRF_APB__AFI4_REF_CTRL__FREQMHZ {667} \ | |
CONFIG.PSU__CRF_APB__AFI4_REF_CTRL__SRCSEL {DPLL} \ | |
CONFIG.PSU__CRF_APB__AFI4_REF__ENABLE {0} \ | |
CONFIG.PSU__CRF_APB__AFI5_REF_CTRL__ACT_FREQMHZ {667} \ | |
CONFIG.PSU__CRF_APB__AFI5_REF_CTRL__DIVISOR0 {2} \ | |
CONFIG.PSU__CRF_APB__AFI5_REF_CTRL__FREQMHZ {667} \ | |
CONFIG.PSU__CRF_APB__AFI5_REF_CTRL__SRCSEL {DPLL} \ | |
CONFIG.PSU__CRF_APB__AFI5_REF__ENABLE {0} \ | |
CONFIG.PSU__CRF_APB__APLL_CTRL__DIV2 {1} \ | |
CONFIG.PSU__CRF_APB__APLL_CTRL__FBDIV {72} \ | |
CONFIG.PSU__CRF_APB__APLL_CTRL__FRACDATA {0} \ | |
CONFIG.PSU__CRF_APB__APLL_CTRL__FRACFREQ {27.138} \ | |
CONFIG.PSU__CRF_APB__APLL_CTRL__SRCSEL {PSS_REF_CLK} \ | |
CONFIG.PSU__CRF_APB__APLL_FRAC_CFG__ENABLED {0} \ | |
CONFIG.PSU__CRF_APB__APLL_TO_LPD_CTRL__DIVISOR0 {3} \ | |
CONFIG.PSU__CRF_APB__APM_CTRL__ACT_FREQMHZ {1} \ | |
CONFIG.PSU__CRF_APB__APM_CTRL__DIVISOR0 {1} \ | |
CONFIG.PSU__CRF_APB__APM_CTRL__FREQMHZ {1} \ | |
CONFIG.PSU__CRF_APB__DBG_FPD_CTRL__ACT_FREQMHZ {250.000000} \ | |
CONFIG.PSU__CRF_APB__DBG_FPD_CTRL__DIVISOR0 {2} \ | |
CONFIG.PSU__CRF_APB__DBG_FPD_CTRL__FREQMHZ {250} \ | |
CONFIG.PSU__CRF_APB__DBG_FPD_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRF_APB__DBG_TRACE_CTRL__ACT_FREQMHZ {250} \ | |
CONFIG.PSU__CRF_APB__DBG_TRACE_CTRL__DIVISOR0 {2} \ | |
CONFIG.PSU__CRF_APB__DBG_TRACE_CTRL__FREQMHZ {250} \ | |
CONFIG.PSU__CRF_APB__DBG_TRACE_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRF_APB__DBG_TSTMP_CTRL__ACT_FREQMHZ {250.000000} \ | |
CONFIG.PSU__CRF_APB__DBG_TSTMP_CTRL__DIVISOR0 {2} \ | |
CONFIG.PSU__CRF_APB__DBG_TSTMP_CTRL__FREQMHZ {250} \ | |
CONFIG.PSU__CRF_APB__DBG_TSTMP_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRF_APB__DDR_CTRL__ACT_FREQMHZ {266.666656} \ | |
CONFIG.PSU__CRF_APB__DDR_CTRL__DIVISOR0 {4} \ | |
CONFIG.PSU__CRF_APB__DDR_CTRL__FREQMHZ {533} \ | |
CONFIG.PSU__CRF_APB__DDR_CTRL__SRCSEL {DPLL} \ | |
CONFIG.PSU__CRF_APB__DPDMA_REF_CTRL__ACT_FREQMHZ {600.000000} \ | |
CONFIG.PSU__CRF_APB__DPDMA_REF_CTRL__DIVISOR0 {2} \ | |
CONFIG.PSU__CRF_APB__DPDMA_REF_CTRL__FREQMHZ {600} \ | |
CONFIG.PSU__CRF_APB__DPDMA_REF_CTRL__SRCSEL {APLL} \ | |
CONFIG.PSU__CRF_APB__DPLL_CTRL__DIV2 {1} \ | |
CONFIG.PSU__CRF_APB__DPLL_CTRL__FBDIV {64} \ | |
CONFIG.PSU__CRF_APB__DPLL_CTRL__FRACDATA {0} \ | |
CONFIG.PSU__CRF_APB__DPLL_CTRL__FRACFREQ {27.138} \ | |
CONFIG.PSU__CRF_APB__DPLL_CTRL__SRCSEL {PSS_REF_CLK} \ | |
CONFIG.PSU__CRF_APB__DPLL_FRAC_CFG__ENABLED {0} \ | |
CONFIG.PSU__CRF_APB__DPLL_TO_LPD_CTRL__DIVISOR0 {3} \ | |
CONFIG.PSU__CRF_APB__DP_AUDIO_REF_CTRL__ACT_FREQMHZ {24.576040} \ | |
CONFIG.PSU__CRF_APB__DP_AUDIO_REF_CTRL__DIVISOR0 {16} \ | |
CONFIG.PSU__CRF_APB__DP_AUDIO_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRF_APB__DP_AUDIO_REF_CTRL__FREQMHZ {25} \ | |
CONFIG.PSU__CRF_APB__DP_AUDIO_REF_CTRL__SRCSEL {RPLL} \ | |
CONFIG.PSU__CRF_APB__DP_AUDIO__FRAC_ENABLED {1} \ | |
CONFIG.PSU__CRF_APB__DP_STC_REF_CTRL__ACT_FREQMHZ {26.214443} \ | |
CONFIG.PSU__CRF_APB__DP_STC_REF_CTRL__DIVISOR0 {15} \ | |
CONFIG.PSU__CRF_APB__DP_STC_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRF_APB__DP_STC_REF_CTRL__FREQMHZ {27} \ | |
CONFIG.PSU__CRF_APB__DP_STC_REF_CTRL__SRCSEL {RPLL} \ | |
CONFIG.PSU__CRF_APB__DP_VIDEO_REF_CTRL__ACT_FREQMHZ {297.029572} \ | |
CONFIG.PSU__CRF_APB__DP_VIDEO_REF_CTRL__DIVISOR0 {4} \ | |
CONFIG.PSU__CRF_APB__DP_VIDEO_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRF_APB__DP_VIDEO_REF_CTRL__FREQMHZ {300} \ | |
CONFIG.PSU__CRF_APB__DP_VIDEO_REF_CTRL__SRCSEL {VPLL} \ | |
CONFIG.PSU__CRF_APB__DP_VIDEO__FRAC_ENABLED {1} \ | |
CONFIG.PSU__CRF_APB__GDMA_REF_CTRL__ACT_FREQMHZ {600.000000} \ | |
CONFIG.PSU__CRF_APB__GDMA_REF_CTRL__DIVISOR0 {2} \ | |
CONFIG.PSU__CRF_APB__GDMA_REF_CTRL__FREQMHZ {600} \ | |
CONFIG.PSU__CRF_APB__GDMA_REF_CTRL__SRCSEL {APLL} \ | |
CONFIG.PSU__CRF_APB__GPU_REF_CTRL__ACT_FREQMHZ {500.000000} \ | |
CONFIG.PSU__CRF_APB__GPU_REF_CTRL__DIVISOR0 {1} \ | |
CONFIG.PSU__CRF_APB__GPU_REF_CTRL__FREQMHZ {600} \ | |
CONFIG.PSU__CRF_APB__GPU_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRF_APB__GTGREF0_REF_CTRL__ACT_FREQMHZ {-1} \ | |
CONFIG.PSU__CRF_APB__GTGREF0_REF_CTRL__DIVISOR0 {-1} \ | |
CONFIG.PSU__CRF_APB__GTGREF0_REF_CTRL__FREQMHZ {-1} \ | |
CONFIG.PSU__CRF_APB__GTGREF0_REF_CTRL__SRCSEL {NA} \ | |
CONFIG.PSU__CRF_APB__GTGREF0__ENABLE {NA} \ | |
CONFIG.PSU__CRF_APB__PCIE_REF_CTRL__ACT_FREQMHZ {250} \ | |
CONFIG.PSU__CRF_APB__PCIE_REF_CTRL__DIVISOR0 {2} \ | |
CONFIG.PSU__CRF_APB__PCIE_REF_CTRL__FREQMHZ {250} \ | |
CONFIG.PSU__CRF_APB__PCIE_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRF_APB__SATA_REF_CTRL__ACT_FREQMHZ {250} \ | |
CONFIG.PSU__CRF_APB__SATA_REF_CTRL__DIVISOR0 {2} \ | |
CONFIG.PSU__CRF_APB__SATA_REF_CTRL__FREQMHZ {250} \ | |
CONFIG.PSU__CRF_APB__SATA_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRF_APB__TOPSW_LSBUS_CTRL__ACT_FREQMHZ {100.000000} \ | |
CONFIG.PSU__CRF_APB__TOPSW_LSBUS_CTRL__DIVISOR0 {5} \ | |
CONFIG.PSU__CRF_APB__TOPSW_LSBUS_CTRL__FREQMHZ {100} \ | |
CONFIG.PSU__CRF_APB__TOPSW_LSBUS_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRF_APB__TOPSW_MAIN_CTRL__ACT_FREQMHZ {533.333313} \ | |
CONFIG.PSU__CRF_APB__TOPSW_MAIN_CTRL__DIVISOR0 {2} \ | |
CONFIG.PSU__CRF_APB__TOPSW_MAIN_CTRL__FREQMHZ {533.333} \ | |
CONFIG.PSU__CRF_APB__TOPSW_MAIN_CTRL__SRCSEL {DPLL} \ | |
CONFIG.PSU__CRF_APB__VPLL_CTRL__DIV2 {1} \ | |
CONFIG.PSU__CRF_APB__VPLL_CTRL__FBDIV {71} \ | |
CONFIG.PSU__CRF_APB__VPLL_CTRL__FRACDATA {0.2871} \ | |
CONFIG.PSU__CRF_APB__VPLL_CTRL__FRACFREQ {300} \ | |
CONFIG.PSU__CRF_APB__VPLL_CTRL__SRCSEL {PSS_REF_CLK} \ | |
CONFIG.PSU__CRF_APB__VPLL_FRAC_CFG__ENABLED {1} \ | |
CONFIG.PSU__CRF_APB__VPLL_TO_LPD_CTRL__DIVISOR0 {3} \ | |
CONFIG.PSU__CRL_APB__ADMA_REF_CTRL__ACT_FREQMHZ {500.000000} \ | |
CONFIG.PSU__CRL_APB__ADMA_REF_CTRL__DIVISOR0 {3} \ | |
CONFIG.PSU__CRL_APB__ADMA_REF_CTRL__FREQMHZ {500} \ | |
CONFIG.PSU__CRL_APB__ADMA_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__AFI6_REF_CTRL__ACT_FREQMHZ {500} \ | |
CONFIG.PSU__CRL_APB__AFI6_REF_CTRL__DIVISOR0 {3} \ | |
CONFIG.PSU__CRL_APB__AFI6_REF_CTRL__FREQMHZ {500} \ | |
CONFIG.PSU__CRL_APB__AFI6_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__AFI6__ENABLE {0} \ | |
CONFIG.PSU__CRL_APB__AMS_REF_CTRL__ACT_FREQMHZ {51.724136} \ | |
CONFIG.PSU__CRL_APB__AMS_REF_CTRL__DIVISOR0 {29} \ | |
CONFIG.PSU__CRL_APB__AMS_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRL_APB__AMS_REF_CTRL__FREQMHZ {50} \ | |
CONFIG.PSU__CRL_APB__AMS_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__CAN0_REF_CTRL__ACT_FREQMHZ {100} \ | |
CONFIG.PSU__CRL_APB__CAN0_REF_CTRL__DIVISOR0 {15} \ | |
CONFIG.PSU__CRL_APB__CAN0_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRL_APB__CAN0_REF_CTRL__FREQMHZ {100} \ | |
CONFIG.PSU__CRL_APB__CAN0_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__CAN1_REF_CTRL__ACT_FREQMHZ {100} \ | |
CONFIG.PSU__CRL_APB__CAN1_REF_CTRL__DIVISOR0 {15} \ | |
CONFIG.PSU__CRL_APB__CAN1_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRL_APB__CAN1_REF_CTRL__FREQMHZ {100} \ | |
CONFIG.PSU__CRL_APB__CAN1_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__CPU_R5_CTRL__ACT_FREQMHZ {500.000000} \ | |
CONFIG.PSU__CRL_APB__CPU_R5_CTRL__DIVISOR0 {3} \ | |
CONFIG.PSU__CRL_APB__CPU_R5_CTRL__FREQMHZ {500} \ | |
CONFIG.PSU__CRL_APB__CPU_R5_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__CSU_PLL_CTRL__ACT_FREQMHZ {500} \ | |
CONFIG.PSU__CRL_APB__CSU_PLL_CTRL__DIVISOR0 {4} \ | |
CONFIG.PSU__CRL_APB__CSU_PLL_CTRL__FREQMHZ {400} \ | |
CONFIG.PSU__CRL_APB__CSU_PLL_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__DBG_LPD_CTRL__ACT_FREQMHZ {250.000000} \ | |
CONFIG.PSU__CRL_APB__DBG_LPD_CTRL__DIVISOR0 {6} \ | |
CONFIG.PSU__CRL_APB__DBG_LPD_CTRL__FREQMHZ {250} \ | |
CONFIG.PSU__CRL_APB__DBG_LPD_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__DEBUG_R5_ATCLK_CTRL__ACT_FREQMHZ {1000} \ | |
CONFIG.PSU__CRL_APB__DEBUG_R5_ATCLK_CTRL__DIVISOR0 {6} \ | |
CONFIG.PSU__CRL_APB__DEBUG_R5_ATCLK_CTRL__FREQMHZ {1000} \ | |
CONFIG.PSU__CRL_APB__DEBUG_R5_ATCLK_CTRL__SRCSEL {RPLL} \ | |
CONFIG.PSU__CRL_APB__DLL_REF_CTRL__ACT_FREQMHZ {1500.000000} \ | |
CONFIG.PSU__CRL_APB__DLL_REF_CTRL__FREQMHZ {1500} \ | |
CONFIG.PSU__CRL_APB__DLL_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__GEM0_REF_CTRL__ACT_FREQMHZ {125} \ | |
CONFIG.PSU__CRL_APB__GEM0_REF_CTRL__DIVISOR0 {12} \ | |
CONFIG.PSU__CRL_APB__GEM0_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRL_APB__GEM0_REF_CTRL__FREQMHZ {125} \ | |
CONFIG.PSU__CRL_APB__GEM0_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__GEM1_REF_CTRL__ACT_FREQMHZ {125} \ | |
CONFIG.PSU__CRL_APB__GEM1_REF_CTRL__DIVISOR0 {12} \ | |
CONFIG.PSU__CRL_APB__GEM1_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRL_APB__GEM1_REF_CTRL__FREQMHZ {125} \ | |
CONFIG.PSU__CRL_APB__GEM1_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__GEM2_REF_CTRL__ACT_FREQMHZ {125} \ | |
CONFIG.PSU__CRL_APB__GEM2_REF_CTRL__DIVISOR0 {12} \ | |
CONFIG.PSU__CRL_APB__GEM2_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRL_APB__GEM2_REF_CTRL__FREQMHZ {125} \ | |
CONFIG.PSU__CRL_APB__GEM2_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__GEM3_REF_CTRL__ACT_FREQMHZ {125} \ | |
CONFIG.PSU__CRL_APB__GEM3_REF_CTRL__DIVISOR0 {12} \ | |
CONFIG.PSU__CRL_APB__GEM3_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRL_APB__GEM3_REF_CTRL__FREQMHZ {125} \ | |
CONFIG.PSU__CRL_APB__GEM3_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__GEM_TSU_REF_CTRL__ACT_FREQMHZ {250} \ | |
CONFIG.PSU__CRL_APB__GEM_TSU_REF_CTRL__DIVISOR0 {6} \ | |
CONFIG.PSU__CRL_APB__GEM_TSU_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRL_APB__GEM_TSU_REF_CTRL__FREQMHZ {250} \ | |
CONFIG.PSU__CRL_APB__GEM_TSU_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__I2C0_REF_CTRL__ACT_FREQMHZ {100} \ | |
CONFIG.PSU__CRL_APB__I2C0_REF_CTRL__DIVISOR0 {15} \ | |
CONFIG.PSU__CRL_APB__I2C0_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRL_APB__I2C0_REF_CTRL__FREQMHZ {100} \ | |
CONFIG.PSU__CRL_APB__I2C0_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__I2C1_REF_CTRL__ACT_FREQMHZ {100.000000} \ | |
CONFIG.PSU__CRL_APB__I2C1_REF_CTRL__DIVISOR0 {15} \ | |
CONFIG.PSU__CRL_APB__I2C1_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRL_APB__I2C1_REF_CTRL__FREQMHZ {100} \ | |
CONFIG.PSU__CRL_APB__I2C1_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__IOPLL_CTRL__DIV2 {0} \ | |
CONFIG.PSU__CRL_APB__IOPLL_CTRL__FBDIV {45} \ | |
CONFIG.PSU__CRL_APB__IOPLL_CTRL__FRACDATA {0} \ | |
CONFIG.PSU__CRL_APB__IOPLL_CTRL__FRACFREQ {27.138} \ | |
CONFIG.PSU__CRL_APB__IOPLL_CTRL__SRCSEL {PSS_REF_CLK} \ | |
CONFIG.PSU__CRL_APB__IOPLL_FRAC_CFG__ENABLED {0} \ | |
CONFIG.PSU__CRL_APB__IOPLL_TO_FPD_CTRL__DIVISOR0 {3} \ | |
CONFIG.PSU__CRL_APB__IOU_SWITCH_CTRL__ACT_FREQMHZ {250.000000} \ | |
CONFIG.PSU__CRL_APB__IOU_SWITCH_CTRL__DIVISOR0 {6} \ | |
CONFIG.PSU__CRL_APB__IOU_SWITCH_CTRL__FREQMHZ {267} \ | |
CONFIG.PSU__CRL_APB__IOU_SWITCH_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__LPD_LSBUS_CTRL__ACT_FREQMHZ {100.000000} \ | |
CONFIG.PSU__CRL_APB__LPD_LSBUS_CTRL__DIVISOR0 {15} \ | |
CONFIG.PSU__CRL_APB__LPD_LSBUS_CTRL__FREQMHZ {100} \ | |
CONFIG.PSU__CRL_APB__LPD_LSBUS_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__LPD_SWITCH_CTRL__ACT_FREQMHZ {500.000000} \ | |
CONFIG.PSU__CRL_APB__LPD_SWITCH_CTRL__DIVISOR0 {3} \ | |
CONFIG.PSU__CRL_APB__LPD_SWITCH_CTRL__FREQMHZ {500} \ | |
CONFIG.PSU__CRL_APB__LPD_SWITCH_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__NAND_REF_CTRL__ACT_FREQMHZ {100} \ | |
CONFIG.PSU__CRL_APB__NAND_REF_CTRL__DIVISOR0 {15} \ | |
CONFIG.PSU__CRL_APB__NAND_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRL_APB__NAND_REF_CTRL__FREQMHZ {100} \ | |
CONFIG.PSU__CRL_APB__NAND_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__OCM_MAIN_CTRL__ACT_FREQMHZ {500} \ | |
CONFIG.PSU__CRL_APB__OCM_MAIN_CTRL__DIVISOR0 {3} \ | |
CONFIG.PSU__CRL_APB__OCM_MAIN_CTRL__FREQMHZ {500} \ | |
CONFIG.PSU__CRL_APB__OCM_MAIN_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__PCAP_CTRL__ACT_FREQMHZ {187.500000} \ | |
CONFIG.PSU__CRL_APB__PCAP_CTRL__DIVISOR0 {8} \ | |
CONFIG.PSU__CRL_APB__PCAP_CTRL__FREQMHZ {200} \ | |
CONFIG.PSU__CRL_APB__PCAP_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__PL0_REF_CTRL__ACT_FREQMHZ {100.000000} \ | |
CONFIG.PSU__CRL_APB__PL0_REF_CTRL__DIVISOR0 {15} \ | |
CONFIG.PSU__CRL_APB__PL0_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRL_APB__PL0_REF_CTRL__FREQMHZ {100} \ | |
CONFIG.PSU__CRL_APB__PL0_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__PL1_REF_CTRL__ACT_FREQMHZ {24.999975} \ | |
CONFIG.PSU__CRL_APB__PL1_REF_CTRL__DIVISOR0 {15} \ | |
CONFIG.PSU__CRL_APB__PL1_REF_CTRL__DIVISOR1 {4} \ | |
CONFIG.PSU__CRL_APB__PL1_REF_CTRL__FREQMHZ {100} \ | |
CONFIG.PSU__CRL_APB__PL1_REF_CTRL__SRCSEL {RPLL} \ | |
CONFIG.PSU__CRL_APB__PL2_REF_CTRL__ACT_FREQMHZ {299.999700} \ | |
CONFIG.PSU__CRL_APB__PL2_REF_CTRL__DIVISOR0 {5} \ | |
CONFIG.PSU__CRL_APB__PL2_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRL_APB__PL2_REF_CTRL__FREQMHZ {100} \ | |
CONFIG.PSU__CRL_APB__PL2_REF_CTRL__SRCSEL {RPLL} \ | |
CONFIG.PSU__CRL_APB__PL3_REF_CTRL__ACT_FREQMHZ {374.999625} \ | |
CONFIG.PSU__CRL_APB__PL3_REF_CTRL__DIVISOR0 {4} \ | |
CONFIG.PSU__CRL_APB__PL3_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRL_APB__PL3_REF_CTRL__FREQMHZ {100} \ | |
CONFIG.PSU__CRL_APB__PL3_REF_CTRL__SRCSEL {RPLL} \ | |
CONFIG.PSU__CRL_APB__QSPI_REF_CTRL__ACT_FREQMHZ {300} \ | |
CONFIG.PSU__CRL_APB__QSPI_REF_CTRL__DIVISOR0 {12} \ | |
CONFIG.PSU__CRL_APB__QSPI_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRL_APB__QSPI_REF_CTRL__FREQMHZ {300} \ | |
CONFIG.PSU__CRL_APB__QSPI_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__RPLL_CTRL__DIV2 {1} \ | |
CONFIG.PSU__CRL_APB__RPLL_CTRL__FBDIV {70} \ | |
CONFIG.PSU__CRL_APB__RPLL_CTRL__FRACDATA {0.779} \ | |
CONFIG.PSU__CRL_APB__RPLL_CTRL__FRACFREQ {25} \ | |
CONFIG.PSU__CRL_APB__RPLL_CTRL__SRCSEL {PSS_REF_CLK} \ | |
CONFIG.PSU__CRL_APB__RPLL_FRAC_CFG__ENABLED {1} \ | |
CONFIG.PSU__CRL_APB__RPLL_TO_FPD_CTRL__DIVISOR0 {3} \ | |
CONFIG.PSU__CRL_APB__SDIO0_REF_CTRL__ACT_FREQMHZ {187.500000} \ | |
CONFIG.PSU__CRL_APB__SDIO0_REF_CTRL__DIVISOR0 {8} \ | |
CONFIG.PSU__CRL_APB__SDIO0_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRL_APB__SDIO0_REF_CTRL__FREQMHZ {200} \ | |
CONFIG.PSU__CRL_APB__SDIO0_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__SDIO1_REF_CTRL__ACT_FREQMHZ {187.500000} \ | |
CONFIG.PSU__CRL_APB__SDIO1_REF_CTRL__DIVISOR0 {8} \ | |
CONFIG.PSU__CRL_APB__SDIO1_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRL_APB__SDIO1_REF_CTRL__FREQMHZ {200} \ | |
CONFIG.PSU__CRL_APB__SDIO1_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__SPI0_REF_CTRL__ACT_FREQMHZ {187.500000} \ | |
CONFIG.PSU__CRL_APB__SPI0_REF_CTRL__DIVISOR0 {8} \ | |
CONFIG.PSU__CRL_APB__SPI0_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRL_APB__SPI0_REF_CTRL__FREQMHZ {200} \ | |
CONFIG.PSU__CRL_APB__SPI0_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__SPI1_REF_CTRL__ACT_FREQMHZ {187.500000} \ | |
CONFIG.PSU__CRL_APB__SPI1_REF_CTRL__DIVISOR0 {8} \ | |
CONFIG.PSU__CRL_APB__SPI1_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRL_APB__SPI1_REF_CTRL__FREQMHZ {200} \ | |
CONFIG.PSU__CRL_APB__SPI1_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__TIMESTAMP_REF_CTRL__ACT_FREQMHZ {100.000000} \ | |
CONFIG.PSU__CRL_APB__TIMESTAMP_REF_CTRL__DIVISOR0 {15} \ | |
CONFIG.PSU__CRL_APB__TIMESTAMP_REF_CTRL__FREQMHZ {100} \ | |
CONFIG.PSU__CRL_APB__TIMESTAMP_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__UART0_REF_CTRL__ACT_FREQMHZ {100.000000} \ | |
CONFIG.PSU__CRL_APB__UART0_REF_CTRL__DIVISOR0 {15} \ | |
CONFIG.PSU__CRL_APB__UART0_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRL_APB__UART0_REF_CTRL__FREQMHZ {100} \ | |
CONFIG.PSU__CRL_APB__UART0_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__UART1_REF_CTRL__ACT_FREQMHZ {100.000000} \ | |
CONFIG.PSU__CRL_APB__UART1_REF_CTRL__DIVISOR0 {15} \ | |
CONFIG.PSU__CRL_APB__UART1_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRL_APB__UART1_REF_CTRL__FREQMHZ {100} \ | |
CONFIG.PSU__CRL_APB__UART1_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__USB0_BUS_REF_CTRL__ACT_FREQMHZ {250.000000} \ | |
CONFIG.PSU__CRL_APB__USB0_BUS_REF_CTRL__DIVISOR0 {6} \ | |
CONFIG.PSU__CRL_APB__USB0_BUS_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRL_APB__USB0_BUS_REF_CTRL__FREQMHZ {250} \ | |
CONFIG.PSU__CRL_APB__USB0_BUS_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__USB1_BUS_REF_CTRL__ACT_FREQMHZ {250.000000} \ | |
CONFIG.PSU__CRL_APB__USB1_BUS_REF_CTRL__DIVISOR0 {6} \ | |
CONFIG.PSU__CRL_APB__USB1_BUS_REF_CTRL__DIVISOR1 {1} \ | |
CONFIG.PSU__CRL_APB__USB1_BUS_REF_CTRL__FREQMHZ {250} \ | |
CONFIG.PSU__CRL_APB__USB1_BUS_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__USB3_DUAL_REF_CTRL__ACT_FREQMHZ {20.000000} \ | |
CONFIG.PSU__CRL_APB__USB3_DUAL_REF_CTRL__DIVISOR0 {5} \ | |
CONFIG.PSU__CRL_APB__USB3_DUAL_REF_CTRL__DIVISOR1 {15} \ | |
CONFIG.PSU__CRL_APB__USB3_DUAL_REF_CTRL__FREQMHZ {20} \ | |
CONFIG.PSU__CRL_APB__USB3_DUAL_REF_CTRL__SRCSEL {IOPLL} \ | |
CONFIG.PSU__CRL_APB__USB3__ENABLE {1} \ | |
CONFIG.PSU__CSUPMU__PERIPHERAL__VALID {1} \ | |
CONFIG.PSU__CSU_COHERENCY {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_0__ENABLE {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_0__ERASE_BBRAM {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_10__ENABLE {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_10__ERASE_BBRAM {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_11__ENABLE {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_11__ERASE_BBRAM {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_12__ENABLE {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_12__ERASE_BBRAM {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_1__ENABLE {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_1__ERASE_BBRAM {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_2__ENABLE {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_2__ERASE_BBRAM {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_3__ENABLE {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_3__ERASE_BBRAM {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_4__ENABLE {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_4__ERASE_BBRAM {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_5__ENABLE {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_5__ERASE_BBRAM {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_6__ENABLE {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_6__ERASE_BBRAM {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_7__ENABLE {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_7__ERASE_BBRAM {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_8__ENABLE {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_8__ERASE_BBRAM {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_9__ENABLE {0} \ | |
CONFIG.PSU__CSU__CSU_TAMPER_9__ERASE_BBRAM {0} \ | |
CONFIG.PSU__CSU__PERIPHERAL__ENABLE {0} \ | |
CONFIG.PSU__DDRC__ADDR_MIRROR {1} \ | |
CONFIG.PSU__DDRC__AL {0} \ | |
CONFIG.PSU__DDRC__BANK_ADDR_COUNT {3} \ | |
CONFIG.PSU__DDRC__BG_ADDR_COUNT {NA} \ | |
CONFIG.PSU__DDRC__BRC_MAPPING {ROW_BANK_COL} \ | |
CONFIG.PSU__DDRC__BUS_WIDTH {32 Bit} \ | |
CONFIG.PSU__DDRC__CL {NA} \ | |
CONFIG.PSU__DDRC__CLOCK_STOP_EN {0} \ | |
CONFIG.PSU__DDRC__COL_ADDR_COUNT {10} \ | |
CONFIG.PSU__DDRC__COMPONENTS {Components} \ | |
CONFIG.PSU__DDRC__CWL {NA} \ | |
CONFIG.PSU__DDRC__DDR3L_T_REF_RANGE {NA} \ | |
CONFIG.PSU__DDRC__DDR3_T_REF_RANGE {NA} \ | |
CONFIG.PSU__DDRC__DDR4_ADDR_MAPPING {NA} \ | |
CONFIG.PSU__DDRC__DDR4_CAL_MODE_ENABLE {NA} \ | |
CONFIG.PSU__DDRC__DDR4_CRC_CONTROL {NA} \ | |
CONFIG.PSU__DDRC__DDR4_MAXPWR_SAVING_EN {NA} \ | |
CONFIG.PSU__DDRC__DDR4_T_REF_MODE {NA} \ | |
CONFIG.PSU__DDRC__DDR4_T_REF_RANGE {NA} \ | |
CONFIG.PSU__DDRC__DEEP_PWR_DOWN_EN {0} \ | |
CONFIG.PSU__DDRC__DEVICE_CAPACITY {8192 MBits} \ | |
CONFIG.PSU__DDRC__DIMM_ADDR_MIRROR {NA} \ | |
CONFIG.PSU__DDRC__DM_DBI {DM_NO_DBI} \ | |
CONFIG.PSU__DDRC__DQMAP_0_3 {0} \ | |
CONFIG.PSU__DDRC__DQMAP_12_15 {0} \ | |
CONFIG.PSU__DDRC__DQMAP_16_19 {0} \ | |
CONFIG.PSU__DDRC__DQMAP_20_23 {0} \ | |
CONFIG.PSU__DDRC__DQMAP_24_27 {0} \ | |
CONFIG.PSU__DDRC__DQMAP_28_31 {0} \ | |
CONFIG.PSU__DDRC__DQMAP_32_35 {0} \ | |
CONFIG.PSU__DDRC__DQMAP_36_39 {0} \ | |
CONFIG.PSU__DDRC__DQMAP_40_43 {0} \ | |
CONFIG.PSU__DDRC__DQMAP_44_47 {0} \ | |
CONFIG.PSU__DDRC__DQMAP_48_51 {0} \ | |
CONFIG.PSU__DDRC__DQMAP_4_7 {0} \ | |
CONFIG.PSU__DDRC__DQMAP_52_55 {0} \ | |
CONFIG.PSU__DDRC__DQMAP_56_59 {0} \ | |
CONFIG.PSU__DDRC__DQMAP_60_63 {0} \ | |
CONFIG.PSU__DDRC__DQMAP_64_67 {0} \ | |
CONFIG.PSU__DDRC__DQMAP_68_71 {0} \ | |
CONFIG.PSU__DDRC__DQMAP_8_11 {0} \ | |
CONFIG.PSU__DDRC__DRAM_WIDTH {32 Bits} \ | |
CONFIG.PSU__DDRC__ECC {Disabled} \ | |
CONFIG.PSU__DDRC__ECC_SCRUB {0} \ | |
CONFIG.PSU__DDRC__ENABLE {1} \ | |
CONFIG.PSU__DDRC__ENABLE_2T_TIMING {0} \ | |
CONFIG.PSU__DDRC__ENABLE_DP_SWITCH {1} \ | |
CONFIG.PSU__DDRC__ENABLE_LP4_HAS_ECC_COMP {0} \ | |
CONFIG.PSU__DDRC__ENABLE_LP4_SLOWBOOT {0} \ | |
CONFIG.PSU__DDRC__EN_2ND_CLK {0} \ | |
CONFIG.PSU__DDRC__FGRM {NA} \ | |
CONFIG.PSU__DDRC__FREQ_MHZ {1} \ | |
CONFIG.PSU__DDRC__LPDDR3_DUALRANK_SDP {0} \ | |
CONFIG.PSU__DDRC__LPDDR3_T_REF_RANGE {NA} \ | |
CONFIG.PSU__DDRC__LPDDR4_T_REF_RANGE {Normal (0-85)} \ | |
CONFIG.PSU__DDRC__LP_ASR {NA} \ | |
CONFIG.PSU__DDRC__MEMORY_TYPE {LPDDR 4} \ | |
CONFIG.PSU__DDRC__PARITY_ENABLE {NA} \ | |
CONFIG.PSU__DDRC__PER_BANK_REFRESH {0} \ | |
CONFIG.PSU__DDRC__PHY_DBI_MODE {0} \ | |
CONFIG.PSU__DDRC__PLL_BYPASS {0} \ | |
CONFIG.PSU__DDRC__PWR_DOWN_EN {0} \ | |
CONFIG.PSU__DDRC__RANK_ADDR_COUNT {1} \ | |
CONFIG.PSU__DDRC__RD_DQS_CENTER {0} \ | |
CONFIG.PSU__DDRC__ROW_ADDR_COUNT {15} \ | |
CONFIG.PSU__DDRC__SB_TARGET {NA} \ | |
CONFIG.PSU__DDRC__SELF_REF_ABORT {NA} \ | |
CONFIG.PSU__DDRC__SPEED_BIN {LPDDR4_1066} \ | |
CONFIG.PSU__DDRC__STATIC_RD_MODE {0} \ | |
CONFIG.PSU__DDRC__TRAIN_DATA_EYE {1} \ | |
CONFIG.PSU__DDRC__TRAIN_READ_GATE {1} \ | |
CONFIG.PSU__DDRC__TRAIN_WRITE_LEVEL {1} \ | |
CONFIG.PSU__DDRC__T_FAW {40.0} \ | |
CONFIG.PSU__DDRC__T_RAS_MIN {42} \ | |
CONFIG.PSU__DDRC__T_RC {63} \ | |
CONFIG.PSU__DDRC__T_RCD {10} \ | |
CONFIG.PSU__DDRC__T_RP {12} \ | |
CONFIG.PSU__DDRC__VENDOR_PART {OTHERS} \ | |
CONFIG.PSU__DDRC__VIDEO_BUFFER_SIZE {0} \ | |
CONFIG.PSU__DDRC__VREF {0} \ | |
CONFIG.PSU__DDR_HIGH_ADDRESS_GUI_ENABLE {0} \ | |
CONFIG.PSU__DDR_QOS_ENABLE {1} \ | |
CONFIG.PSU__DDR_QOS_FIX_HP0_RDQOS {7} \ | |
CONFIG.PSU__DDR_QOS_FIX_HP0_WRQOS {15} \ | |
CONFIG.PSU__DDR_QOS_FIX_HP1_RDQOS {3} \ | |
CONFIG.PSU__DDR_QOS_FIX_HP1_WRQOS {3} \ | |
CONFIG.PSU__DDR_QOS_FIX_HP2_RDQOS {3} \ | |
CONFIG.PSU__DDR_QOS_FIX_HP2_WRQOS {3} \ | |
CONFIG.PSU__DDR_QOS_FIX_HP3_RDQOS {3} \ | |
CONFIG.PSU__DDR_QOS_FIX_HP3_WRQOS {3} \ | |
CONFIG.PSU__DDR_QOS_HP0_RDQOS {7} \ | |
CONFIG.PSU__DDR_QOS_HP0_WRQOS {15} \ | |
CONFIG.PSU__DDR_QOS_HP1_RDQOS {3} \ | |
CONFIG.PSU__DDR_QOS_HP1_WRQOS {3} \ | |
CONFIG.PSU__DDR_QOS_HP2_RDQOS {3} \ | |
CONFIG.PSU__DDR_QOS_HP2_WRQOS {3} \ | |
CONFIG.PSU__DDR_QOS_HP3_RDQOS {3} \ | |
CONFIG.PSU__DDR_QOS_HP3_WRQOS {3} \ | |
CONFIG.PSU__DDR_QOS_PORT0_TYPE {Low Latency} \ | |
CONFIG.PSU__DDR_QOS_PORT1_VN1_TYPE {Low Latency} \ | |
CONFIG.PSU__DDR_QOS_PORT1_VN2_TYPE {Best Effort} \ | |
CONFIG.PSU__DDR_QOS_PORT2_VN1_TYPE {Low Latency} \ | |
CONFIG.PSU__DDR_QOS_PORT2_VN2_TYPE {Best Effort} \ | |
CONFIG.PSU__DDR_QOS_PORT3_TYPE {Video Traffic} \ | |
CONFIG.PSU__DDR_QOS_PORT4_TYPE {Best Effort} \ | |
CONFIG.PSU__DDR_QOS_PORT5_TYPE {Best Effort} \ | |
CONFIG.PSU__DDR_QOS_RD_HPR_THRSHLD {0} \ | |
CONFIG.PSU__DDR_QOS_RD_LPR_THRSHLD {16} \ | |
CONFIG.PSU__DDR_QOS_WR_THRSHLD {16} \ | |
CONFIG.PSU__DDR_SW_REFRESH_ENABLED {1} \ | |
CONFIG.PSU__DDR__INTERFACE__FREQMHZ {266.500} \ | |
CONFIG.PSU__DEVICE_TYPE {EG} \ | |
CONFIG.PSU__DISPLAYPORT__LANE0__ENABLE {1} \ | |
CONFIG.PSU__DISPLAYPORT__LANE0__IO {GT Lane1} \ | |
CONFIG.PSU__DISPLAYPORT__LANE1__ENABLE {1} \ | |
CONFIG.PSU__DISPLAYPORT__LANE1__IO {GT Lane0} \ | |
CONFIG.PSU__DISPLAYPORT__PERIPHERAL__ENABLE {1} \ | |
CONFIG.PSU__DLL__ISUSED {1} \ | |
CONFIG.PSU__DPAUX__PERIPHERAL__ENABLE {1} \ | |
CONFIG.PSU__DPAUX__PERIPHERAL__IO {MIO 27 .. 30} \ | |
CONFIG.PSU__DP__LANE_SEL {Dual Lower} \ | |
CONFIG.PSU__DP__REF_CLK_FREQ {27} \ | |
CONFIG.PSU__DP__REF_CLK_SEL {Ref Clk1} \ | |
CONFIG.PSU__ENABLE__DDR__REFRESH__SIGNALS {0} \ | |
CONFIG.PSU__ENET0__FIFO__ENABLE {0} \ | |
CONFIG.PSU__ENET0__GRP_MDIO__ENABLE {0} \ | |
CONFIG.PSU__ENET0__PERIPHERAL__ENABLE {0} \ | |
CONFIG.PSU__ENET0__PTP__ENABLE {0} \ | |
CONFIG.PSU__ENET0__TSU__ENABLE {0} \ | |
CONFIG.PSU__ENET1__FIFO__ENABLE {0} \ | |
CONFIG.PSU__ENET1__GRP_MDIO__ENABLE {0} \ | |
CONFIG.PSU__ENET1__PERIPHERAL__ENABLE {0} \ | |
CONFIG.PSU__ENET1__PTP__ENABLE {0} \ | |
CONFIG.PSU__ENET1__TSU__ENABLE {0} \ | |
CONFIG.PSU__ENET2__FIFO__ENABLE {0} \ | |
CONFIG.PSU__ENET2__GRP_MDIO__ENABLE {0} \ | |
CONFIG.PSU__ENET2__PERIPHERAL__ENABLE {0} \ | |
CONFIG.PSU__ENET2__PTP__ENABLE {0} \ | |
CONFIG.PSU__ENET2__TSU__ENABLE {0} \ | |
CONFIG.PSU__ENET3__FIFO__ENABLE {0} \ | |
CONFIG.PSU__ENET3__GRP_MDIO__ENABLE {0} \ | |
CONFIG.PSU__ENET3__PERIPHERAL__ENABLE {0} \ | |
CONFIG.PSU__ENET3__PTP__ENABLE {0} \ | |
CONFIG.PSU__ENET3__TSU__ENABLE {0} \ | |
CONFIG.PSU__EN_AXI_STATUS_PORTS {0} \ | |
CONFIG.PSU__EN_EMIO_TRACE {0} \ | |
CONFIG.PSU__EP__IP {0} \ | |
CONFIG.PSU__EXPAND__CORESIGHT {0} \ | |
CONFIG.PSU__EXPAND__FPD_SLAVES {0} \ | |
CONFIG.PSU__EXPAND__GIC {0} \ | |
CONFIG.PSU__EXPAND__LOWER_LPS_SLAVES {0} \ | |
CONFIG.PSU__EXPAND__UPPER_LPS_SLAVES {0} \ | |
CONFIG.PSU__FPDMASTERS_COHERENCY {0} \ | |
CONFIG.PSU__FPD_SLCR__WDT1__ACT_FREQMHZ {100.000000} \ | |
CONFIG.PSU__FPD_SLCR__WDT1__FREQMHZ {100.000000} \ | |
CONFIG.PSU__FPD_SLCR__WDT_CLK_SEL__SELECT {APB} \ | |
CONFIG.PSU__FPGA_PL0_ENABLE {1} \ | |
CONFIG.PSU__FPGA_PL1_ENABLE {0} \ | |
CONFIG.PSU__FPGA_PL2_ENABLE {0} \ | |
CONFIG.PSU__FPGA_PL3_ENABLE {0} \ | |
CONFIG.PSU__FP__POWER__ON {1} \ | |
CONFIG.PSU__FTM__CTI_IN_0 {0} \ | |
CONFIG.PSU__FTM__CTI_IN_1 {0} \ | |
CONFIG.PSU__FTM__CTI_IN_2 {0} \ | |
CONFIG.PSU__FTM__CTI_IN_3 {0} \ | |
CONFIG.PSU__FTM__CTI_OUT_0 {0} \ | |
CONFIG.PSU__FTM__CTI_OUT_1 {0} \ | |
CONFIG.PSU__FTM__CTI_OUT_2 {0} \ | |
CONFIG.PSU__FTM__CTI_OUT_3 {0} \ | |
CONFIG.PSU__FTM__GPI {0} \ | |
CONFIG.PSU__FTM__GPO {0} \ | |
CONFIG.PSU__GEM0_COHERENCY {0} \ | |
CONFIG.PSU__GEM0_ROUTE_THROUGH_FPD {0} \ | |
CONFIG.PSU__GEM1_COHERENCY {0} \ | |
CONFIG.PSU__GEM1_ROUTE_THROUGH_FPD {0} \ | |
CONFIG.PSU__GEM2_COHERENCY {0} \ | |
CONFIG.PSU__GEM2_ROUTE_THROUGH_FPD {0} \ | |
CONFIG.PSU__GEM3_COHERENCY {0} \ | |
CONFIG.PSU__GEM3_ROUTE_THROUGH_FPD {0} \ | |
CONFIG.PSU__GEM__TSU__ENABLE {0} \ | |
CONFIG.PSU__GEN_IPI_0__MASTER {APU} \ | |
CONFIG.PSU__GEN_IPI_10__MASTER {NONE} \ | |
CONFIG.PSU__GEN_IPI_1__MASTER {RPU0} \ | |
CONFIG.PSU__GEN_IPI_2__MASTER {RPU1} \ | |
CONFIG.PSU__GEN_IPI_3__MASTER {PMU} \ | |
CONFIG.PSU__GEN_IPI_4__MASTER {PMU} \ | |
CONFIG.PSU__GEN_IPI_5__MASTER {PMU} \ | |
CONFIG.PSU__GEN_IPI_6__MASTER {PMU} \ | |
CONFIG.PSU__GEN_IPI_7__MASTER {NONE} \ | |
CONFIG.PSU__GEN_IPI_8__MASTER {NONE} \ | |
CONFIG.PSU__GEN_IPI_9__MASTER {NONE} \ | |
CONFIG.PSU__GPIO0_MIO__IO {MIO 0 .. 25} \ | |
CONFIG.PSU__GPIO0_MIO__PERIPHERAL__ENABLE {1} \ | |
CONFIG.PSU__GPIO1_MIO__IO {MIO 26 .. 51} \ | |
CONFIG.PSU__GPIO1_MIO__PERIPHERAL__ENABLE {1} \ | |
CONFIG.PSU__GPIO2_MIO__IO {MIO 52 .. 77} \ | |
CONFIG.PSU__GPIO2_MIO__PERIPHERAL__ENABLE {1} \ | |
CONFIG.PSU__GPIO_EMIO_WIDTH {1} \ | |
CONFIG.PSU__GPIO_EMIO__PERIPHERAL__ENABLE {0} \ | |
CONFIG.PSU__GPIO_EMIO__PERIPHERAL__IO {<Select>} \ | |
CONFIG.PSU__GPIO_EMIO__WIDTH {[94:0]} \ | |
CONFIG.PSU__GPU_PP0__POWER__ON {1} \ | |
CONFIG.PSU__GPU_PP1__POWER__ON {1} \ | |
CONFIG.PSU__GT_REF_CLK__FREQMHZ {33.333} \ | |
CONFIG.PSU__GT__LINK_SPEED {HBR} \ | |
CONFIG.PSU__GT__PRE_EMPH_LVL_4 {0} \ | |
CONFIG.PSU__GT__VLT_SWNG_LVL_4 {0} \ | |
CONFIG.PSU__HIGH_ADDRESS__ENABLE {0} \ | |
CONFIG.PSU__HPM0_FPD__NUM_READ_THREADS {4} \ | |
CONFIG.PSU__HPM0_FPD__NUM_WRITE_THREADS {4} \ | |
CONFIG.PSU__HPM0_LPD__NUM_READ_THREADS {4} \ | |
CONFIG.PSU__HPM0_LPD__NUM_WRITE_THREADS {4} \ | |
CONFIG.PSU__HPM1_FPD__NUM_READ_THREADS {4} \ | |
CONFIG.PSU__HPM1_FPD__NUM_WRITE_THREADS {4} \ | |
CONFIG.PSU__I2C0_LOOP_I2C1__ENABLE {0} \ | |
CONFIG.PSU__I2C0__GRP_INT__ENABLE {0} \ | |
CONFIG.PSU__I2C0__PERIPHERAL__ENABLE {0} \ | |
CONFIG.PSU__I2C1__GRP_INT__ENABLE {0} \ | |
CONFIG.PSU__I2C1__PERIPHERAL__ENABLE {1} \ | |
CONFIG.PSU__I2C1__PERIPHERAL__IO {MIO 4 .. 5} \ | |
CONFIG.PSU__IOU_SLCR__IOU_TTC_APB_CLK__TTC0_SEL {APB} \ | |
CONFIG.PSU__IOU_SLCR__IOU_TTC_APB_CLK__TTC1_SEL {APB} \ | |
CONFIG.PSU__IOU_SLCR__IOU_TTC_APB_CLK__TTC2_SEL {APB} \ | |
CONFIG.PSU__IOU_SLCR__IOU_TTC_APB_CLK__TTC3_SEL {APB} \ | |
CONFIG.PSU__IOU_SLCR__TTC0__ACT_FREQMHZ {100.000000} \ | |
CONFIG.PSU__IOU_SLCR__TTC0__FREQMHZ {100.000000} \ | |
CONFIG.PSU__IOU_SLCR__TTC1__ACT_FREQMHZ {100.000000} \ | |
CONFIG.PSU__IOU_SLCR__TTC1__FREQMHZ {100.000000} \ | |
CONFIG.PSU__IOU_SLCR__TTC2__ACT_FREQMHZ {100.000000} \ | |
CONFIG.PSU__IOU_SLCR__TTC2__FREQMHZ {100.000000} \ | |
CONFIG.PSU__IOU_SLCR__TTC3__ACT_FREQMHZ {100.000000} \ | |
CONFIG.PSU__IOU_SLCR__TTC3__FREQMHZ {100.000000} \ | |
CONFIG.PSU__IOU_SLCR__WDT0__ACT_FREQMHZ {100.000000} \ | |
CONFIG.PSU__IOU_SLCR__WDT0__FREQMHZ {100.000000} \ | |
CONFIG.PSU__IOU_SLCR__WDT_CLK_SEL__SELECT {APB} \ | |
CONFIG.PSU__IRQ_P2F_ADMA_CHAN__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_AIB_AXI__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_AMS__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_APM_FPD__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_APU_COMM__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_APU_CPUMNT__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_APU_CTI__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_APU_EXTERR__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_APU_IPI__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_APU_L2ERR__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_APU_PMU__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_APU_REGS__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_ATB_LPD__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_CAN0__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_CAN1__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_CLKMON__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_CSUPMU_WDT__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_CSU_DMA__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_CSU__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_DDR_SS__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_DPDMA__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_DPORT__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_EFUSE__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_ENT0_WAKEUP__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_ENT0__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_ENT1_WAKEUP__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_ENT1__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_ENT2_WAKEUP__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_ENT2__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_ENT3_WAKEUP__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_ENT3__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_FPD_APB__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_FPD_ATB_ERR__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_FP_WDT__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_GDMA_CHAN__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_GPIO__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_GPU__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_I2C0__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_I2C1__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_LPD_APB__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_LPD_APM__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_LP_WDT__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_NAND__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_OCM_ERR__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_PCIE_DMA__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_PCIE_LEGACY__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_PCIE_MSC__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_PCIE_MSI__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_PL_IPI__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_QSPI__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_R5_CORE0_ECC_ERR__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_R5_CORE1_ECC_ERR__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_RPU_IPI__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_RPU_PERMON__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_RTC_ALARM__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_RTC_SECONDS__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_SATA__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_SDIO0_WAKE__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_SDIO0__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_SDIO1_WAKE__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_SDIO1__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_SPI0__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_SPI1__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_TTC0__INT0 {0} \ | |
CONFIG.PSU__IRQ_P2F_TTC0__INT1 {0} \ | |
CONFIG.PSU__IRQ_P2F_TTC0__INT2 {0} \ | |
CONFIG.PSU__IRQ_P2F_TTC1__INT0 {0} \ | |
CONFIG.PSU__IRQ_P2F_TTC1__INT1 {0} \ | |
CONFIG.PSU__IRQ_P2F_TTC1__INT2 {0} \ | |
CONFIG.PSU__IRQ_P2F_TTC2__INT0 {0} \ | |
CONFIG.PSU__IRQ_P2F_TTC2__INT1 {0} \ | |
CONFIG.PSU__IRQ_P2F_TTC2__INT2 {0} \ | |
CONFIG.PSU__IRQ_P2F_TTC3__INT0 {0} \ | |
CONFIG.PSU__IRQ_P2F_TTC3__INT1 {0} \ | |
CONFIG.PSU__IRQ_P2F_TTC3__INT2 {0} \ | |
CONFIG.PSU__IRQ_P2F_UART0__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_UART1__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_USB3_ENDPOINT__INT0 {0} \ | |
CONFIG.PSU__IRQ_P2F_USB3_ENDPOINT__INT1 {0} \ | |
CONFIG.PSU__IRQ_P2F_USB3_OTG__INT0 {0} \ | |
CONFIG.PSU__IRQ_P2F_USB3_OTG__INT1 {0} \ | |
CONFIG.PSU__IRQ_P2F_USB3_PMU_WAKEUP__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_XMPU_FPD__INT {0} \ | |
CONFIG.PSU__IRQ_P2F_XMPU_LPD__INT {0} \ | |
CONFIG.PSU__IRQ_P2F__INTF_FPD_SMMU__INT {0} \ | |
CONFIG.PSU__IRQ_P2F__INTF_PPD_CCI__INT {0} \ | |
CONFIG.PSU__L2_BANK0__POWER__ON {1} \ | |
CONFIG.PSU__LPDMA0_COHERENCY {0} \ | |
CONFIG.PSU__LPDMA1_COHERENCY {0} \ | |
CONFIG.PSU__LPDMA2_COHERENCY {0} \ | |
CONFIG.PSU__LPDMA3_COHERENCY {0} \ | |
CONFIG.PSU__LPDMA4_COHERENCY {0} \ | |
CONFIG.PSU__LPDMA5_COHERENCY {0} \ | |
CONFIG.PSU__LPDMA6_COHERENCY {0} \ | |
CONFIG.PSU__LPDMA7_COHERENCY {0} \ | |
CONFIG.PSU__LPD_SLCR__CSUPMU_WDT_CLK_SEL__SELECT {APB} \ | |
CONFIG.PSU__LPD_SLCR__CSUPMU__ACT_FREQMHZ {100.000000} \ | |
CONFIG.PSU__LPD_SLCR__CSUPMU__FREQMHZ {100.000000} \ | |
CONFIG.PSU__MAXIGP0__DATA_WIDTH {128} \ | |
CONFIG.PSU__MAXIGP1__DATA_WIDTH {128} \ | |
CONFIG.PSU__MAXIGP2__DATA_WIDTH {32} \ | |
CONFIG.PSU__M_AXI_GP0_SUPPORTS_NARROW_BURST {1} \ | |
CONFIG.PSU__M_AXI_GP1_SUPPORTS_NARROW_BURST {1} \ | |
CONFIG.PSU__M_AXI_GP2_SUPPORTS_NARROW_BURST {1} \ | |
CONFIG.PSU__NAND_COHERENCY {0} \ | |
CONFIG.PSU__NAND_ROUTE_THROUGH_FPD {0} \ | |
CONFIG.PSU__NAND__CHIP_ENABLE__ENABLE {0} \ | |
CONFIG.PSU__NAND__DATA_STROBE__ENABLE {0} \ | |
CONFIG.PSU__NAND__PERIPHERAL__ENABLE {0} \ | |
CONFIG.PSU__NAND__READY0_BUSY__ENABLE {0} \ | |
CONFIG.PSU__NAND__READY1_BUSY__ENABLE {0} \ | |
CONFIG.PSU__NAND__READY_BUSY__ENABLE {0} \ | |
CONFIG.PSU__NUM_FABRIC_RESETS {1} \ | |
CONFIG.PSU__OCM_BANK0__POWER__ON {1} \ | |
CONFIG.PSU__OCM_BANK1__POWER__ON {1} \ | |
CONFIG.PSU__OCM_BANK2__POWER__ON {1} \ | |
CONFIG.PSU__OCM_BANK3__POWER__ON {1} \ | |
CONFIG.PSU__OVERRIDE_HPX_QOS {0} \ | |
CONFIG.PSU__OVERRIDE__BASIC_CLOCK {1} \ | |
CONFIG.PSU__PCIE__ACS_VIOLAION {0} \ | |
CONFIG.PSU__PCIE__ACS_VIOLATION {0} \ | |
CONFIG.PSU__PCIE__AER_CAPABILITY {0} \ | |
CONFIG.PSU__PCIE__ATOMICOP_EGRESS_BLOCKED {0} \ | |
CONFIG.PSU__PCIE__BAR0_64BIT {0} \ | |
CONFIG.PSU__PCIE__BAR0_ENABLE {0} \ | |
CONFIG.PSU__PCIE__BAR0_PREFETCHABLE {0} \ | |
CONFIG.PSU__PCIE__BAR0_VAL {} \ | |
CONFIG.PSU__PCIE__BAR1_64BIT {0} \ | |
CONFIG.PSU__PCIE__BAR1_ENABLE {0} \ | |
CONFIG.PSU__PCIE__BAR1_PREFETCHABLE {0} \ | |
CONFIG.PSU__PCIE__BAR1_VAL {} \ | |
CONFIG.PSU__PCIE__BAR2_64BIT {0} \ | |
CONFIG.PSU__PCIE__BAR2_ENABLE {0} \ | |
CONFIG.PSU__PCIE__BAR2_PREFETCHABLE {0} \ | |
CONFIG.PSU__PCIE__BAR2_VAL {} \ | |
CONFIG.PSU__PCIE__BAR3_64BIT {0} \ | |
CONFIG.PSU__PCIE__BAR3_ENABLE {0} \ | |
CONFIG.PSU__PCIE__BAR3_PREFETCHABLE {0} \ | |
CONFIG.PSU__PCIE__BAR3_VAL {} \ | |
CONFIG.PSU__PCIE__BAR4_64BIT {0} \ | |
CONFIG.PSU__PCIE__BAR4_ENABLE {0} \ | |
CONFIG.PSU__PCIE__BAR4_PREFETCHABLE {0} \ | |
CONFIG.PSU__PCIE__BAR4_VAL {} \ | |
CONFIG.PSU__PCIE__BAR5_64BIT {0} \ | |
CONFIG.PSU__PCIE__BAR5_ENABLE {0} \ | |
CONFIG.PSU__PCIE__BAR5_PREFETCHABLE {0} \ | |
CONFIG.PSU__PCIE__BAR5_VAL {} \ | |
CONFIG.PSU__PCIE__CLASS_CODE_BASE {} \ | |
CONFIG.PSU__PCIE__CLASS_CODE_INTERFACE {} \ | |
CONFIG.PSU__PCIE__CLASS_CODE_SUB {} \ | |
CONFIG.PSU__PCIE__CLASS_CODE_VALUE {} \ | |
CONFIG.PSU__PCIE__COMPLETER_ABORT {0} \ | |
CONFIG.PSU__PCIE__COMPLTION_TIMEOUT {0} \ | |
CONFIG.PSU__PCIE__CORRECTABLE_INT_ERR {0} \ | |
CONFIG.PSU__PCIE__CRS_SW_VISIBILITY {0} \ | |
CONFIG.PSU__PCIE__DEVICE_ID {} \ | |
CONFIG.PSU__PCIE__ECRC_CHECK {0} \ | |
CONFIG.PSU__PCIE__ECRC_ERR {0} \ | |
CONFIG.PSU__PCIE__ECRC_GEN {0} \ | |
CONFIG.PSU__PCIE__EROM_ENABLE {0} \ | |
CONFIG.PSU__PCIE__EROM_VAL {} \ | |
CONFIG.PSU__PCIE__FLOW_CONTROL_ERR {0} \ | |
CONFIG.PSU__PCIE__FLOW_CONTROL_PROTOCOL_ERR {0} \ | |
CONFIG.PSU__PCIE__HEADER_LOG_OVERFLOW {0} \ | |
CONFIG.PSU__PCIE__INTX_GENERATION {0} \ | |
CONFIG.PSU__PCIE__LANE0__ENABLE {0} \ | |
CONFIG.PSU__PCIE__LANE1__ENABLE {0} \ | |
CONFIG.PSU__PCIE__LANE2__ENABLE {0} \ | |
CONFIG.PSU__PCIE__LANE3__ENABLE {0} \ | |
CONFIG.PSU__PCIE__MC_BLOCKED_TLP {0} \ | |
CONFIG.PSU__PCIE__MSIX_BAR_INDICATOR {} \ | |
CONFIG.PSU__PCIE__MSIX_CAPABILITY {0} \ | |
CONFIG.PSU__PCIE__MSIX_PBA_BAR_INDICATOR {} \ | |
CONFIG.PSU__PCIE__MSIX_PBA_OFFSET {0} \ | |
CONFIG.PSU__PCIE__MSIX_TABLE_OFFSET {0} \ | |
CONFIG.PSU__PCIE__MSIX_TABLE_SIZE {0} \ | |
CONFIG.PSU__PCIE__MSI_64BIT_ADDR_CAPABLE {0} \ | |
CONFIG.PSU__PCIE__MSI_CAPABILITY {0} \ | |
CONFIG.PSU__PCIE__MULTIHEADER {0} \ | |
CONFIG.PSU__PCIE__PERIPHERAL__ENABLE {0} \ | |
CONFIG.PSU__PCIE__PERIPHERAL__ENDPOINT_ENABLE {1} \ | |
CONFIG.PSU__PCIE__PERIPHERAL__ROOTPORT_ENABLE {0} \ | |
CONFIG.PSU__PCIE__PERM_ROOT_ERR_UPDATE {0} \ | |
CONFIG.PSU__PCIE__RECEIVER_ERR {0} \ | |
CONFIG.PSU__PCIE__RECEIVER_OVERFLOW {0} \ | |
CONFIG.PSU__PCIE__RESET__POLARITY {Active Low} \ | |
CONFIG.PSU__PCIE__REVISION_ID {} \ | |
CONFIG.PSU__PCIE__SUBSYSTEM_ID {} \ | |
CONFIG.PSU__PCIE__SUBSYSTEM_VENDOR_ID {} \ | |
CONFIG.PSU__PCIE__SURPRISE_DOWN {0} \ | |
CONFIG.PSU__PCIE__TLP_PREFIX_BLOCKED {0} \ | |
CONFIG.PSU__PCIE__UNCORRECTABL_INT_ERR {0} \ | |
CONFIG.PSU__PCIE__VENDOR_ID {} \ | |
CONFIG.PSU__PJTAG__PERIPHERAL__ENABLE {0} \ | |
CONFIG.PSU__PL_CLK0_BUF {TRUE} \ | |
CONFIG.PSU__PL_CLK1_BUF {FALSE} \ | |
CONFIG.PSU__PL_CLK2_BUF {FALSE} \ | |
CONFIG.PSU__PL_CLK3_BUF {FALSE} \ | |
CONFIG.PSU__PL__POWER__ON {1} \ | |
CONFIG.PSU__PMU_COHERENCY {0} \ | |
CONFIG.PSU__PMU__AIBACK__ENABLE {0} \ | |
CONFIG.PSU__PMU__EMIO_GPI__ENABLE {0} \ | |
CONFIG.PSU__PMU__EMIO_GPO__ENABLE {0} \ | |
CONFIG.PSU__PMU__GPI0__ENABLE {1} \ | |
CONFIG.PSU__PMU__GPI0__IO {MIO 26} \ | |
CONFIG.PSU__PMU__GPI1__ENABLE {0} \ | |
CONFIG.PSU__PMU__GPI2__ENABLE {0} \ | |
CONFIG.PSU__PMU__GPI3__ENABLE {0} \ | |
CONFIG.PSU__PMU__GPI4__ENABLE {0} \ | |
CONFIG.PSU__PMU__GPI5__ENABLE {0} \ | |
CONFIG.PSU__PMU__GPO0__ENABLE {1} \ | |
CONFIG.PSU__PMU__GPO0__IO {MIO 32} \ | |
CONFIG.PSU__PMU__GPO1__ENABLE {1} \ | |
CONFIG.PSU__PMU__GPO1__IO {MIO 33} \ | |
CONFIG.PSU__PMU__GPO2__ENABLE {1} \ | |
CONFIG.PSU__PMU__GPO2__IO {MIO 34} \ | |
CONFIG.PSU__PMU__GPO2__POLARITY {high} \ | |
CONFIG.PSU__PMU__GPO3__ENABLE {0} \ | |
CONFIG.PSU__PMU__GPO4__ENABLE {0} \ | |
CONFIG.PSU__PMU__GPO5__ENABLE {0} \ | |
CONFIG.PSU__PMU__PERIPHERAL__ENABLE {1} \ | |
CONFIG.PSU__PMU__PLERROR__ENABLE {0} \ | |
CONFIG.PSU__PRESET_APPLIED {1} \ | |
CONFIG.PSU__PROTECTION__DDR_SEGMENTS {NONE} \ | |
CONFIG.PSU__PROTECTION__DEBUG {0} \ | |
CONFIG.PSU__PROTECTION__ENABLE {0} \ | |
CONFIG.PSU__PROTECTION__FPD_SEGMENTS {\ | |
SA:0xFD1A0000; SIZE:1280; UNIT:KB; RegionTZ:Secure; WrAllowed:Read/Write;\ | |
subsystemId:PMU Firmware | SA:0xFD000000; SIZE:64; UNIT:KB; RegionTZ:Secure;\ | |
WrAllowed:Read/Write; subsystemId:PMU Firmware | SA:0xFD010000; SIZE:64;\ | |
UNIT:KB; RegionTZ:Secure; WrAllowed:Read/Write; subsystemId:PMU Firmware | \ | |
SA:0xFD020000; SIZE:64; UNIT:KB; RegionTZ:Secure; WrAllowed:Read/Write;\ | |
subsystemId:PMU Firmware | SA:0xFD030000; SIZE:64; UNIT:KB; RegionTZ:Secure;\ | |
WrAllowed:Read/Write; subsystemId:PMU Firmware | SA:0xFD040000; SIZE:64;\ | |
UNIT:KB; RegionTZ:Secure; WrAllowed:Read/Write; subsystemId:PMU Firmware | \ | |
SA:0xFD050000; SIZE:64; UNIT:KB; RegionTZ:Secure; WrAllowed:Read/Write;\ | |
subsystemId:PMU Firmware | SA:0xFD610000; SIZE:512; UNIT:KB; RegionTZ:Secure;\ | |
WrAllowed:Read/Write; subsystemId:PMU Firmware | SA:0xFD5D0000; SIZE:64;\ | |
UNIT:KB; RegionTZ:Secure; WrAllowed:Read/Write; subsystemId:PMU Firmware |\ | |
SA:0xFD1A0000 ; SIZE:1280; UNIT:KB; RegionTZ:Secure ; WrAllowed:Read/Write;\ | |
subsystemId:Secure Subsystem} \ | |
CONFIG.PSU__PROTECTION__LOCK_UNUSED_SEGMENTS {0} \ | |
CONFIG.PSU__PROTECTION__LPD_SEGMENTS {\ | |
SA:0xFF980000; SIZE:64; UNIT:KB; RegionTZ:Secure; WrAllowed:Read/Write;\ | |
subsystemId:PMU Firmware| SA:0xFF5E0000; SIZE:2560; UNIT:KB; RegionTZ:Secure;\ | |
WrAllowed:Read/Write; subsystemId:PMU Firmware| SA:0xFFCC0000; SIZE:64;\ | |
UNIT:KB; RegionTZ:Secure; WrAllowed:Read/Write; subsystemId:PMU Firmware|\ | |
SA:0xFF180000; SIZE:768; UNIT:KB; RegionTZ:Secure; WrAllowed:Read/Write;\ | |
subsystemId:PMU Firmware| SA:0xFF410000; SIZE:640; UNIT:KB; RegionTZ:Secure;\ | |
WrAllowed:Read/Write; subsystemId:PMU Firmware| SA:0xFFA70000; SIZE:64;\ | |
UNIT:KB; RegionTZ:Secure; WrAllowed:Read/Write; subsystemId:PMU Firmware|\ | |
SA:0xFF9A0000; SIZE:64; UNIT:KB; RegionTZ:Secure; WrAllowed:Read/Write;\ | |
subsystemId:PMU Firmware|SA:0xFF5E0000 ; SIZE:2560; UNIT:KB; RegionTZ:Secure ;\ | |
WrAllowed:Read/Write; subsystemId:Secure Subsystem|SA:0xFFCC0000 ; SIZE:64;\ | |
UNIT:KB; RegionTZ:Secure ; WrAllowed:Read/Write; subsystemId:Secure\ | |
Subsystem|SA:0xFF180000 ; SIZE:768; UNIT:KB; RegionTZ:Secure ;\ | |
WrAllowed:Read/Write; subsystemId:Secure Subsystem|SA:0xFF9A0000 ; SIZE:64;\ | |
UNIT:KB; RegionTZ:Secure ; WrAllowed:Read/Write; subsystemId:Secure Subsystem} \ | |
CONFIG.PSU__PROTECTION__MASTERS {\ | |
USB1:NonSecure;1|USB0:NonSecure;1|S_AXI_LPD:NA;0|S_AXI_HPC1_FPD:NA;0|S_AXI_HPC0_FPD:NA;1|S_AXI_HP3_FPD:NA;0|S_AXI_HP2_FPD:NA;0|S_AXI_HP1_FPD:NA;0|S_AXI_HP0_FPD:NA;0|S_AXI_ACP:NA;0|S_AXI_ACE:NA;0|SD1:NonSecure;1|SD0:NonSecure;1|SATA1:NonSecure;0|SATA0:NonSecure;0|RPU1:Secure;1|RPU0:Secure;1|QSPI:NonSecure;0|PMU:NA;1|PCIe:NonSecure;0|NAND:NonSecure;0|LDMA:NonSecure;1|GPU:NonSecure;1|GEM3:NonSecure;0|GEM2:NonSecure;0|GEM1:NonSecure;0|GEM0:NonSecure;0|FDMA:NonSecure;1|DP:NonSecure;1|DAP:NA;1|Coresight:NA;1|CSU:NA;1|APU:NA;1} \ | |
CONFIG.PSU__PROTECTION__MASTERS_TZ {\ | |
GEM0:NonSecure|SD1:NonSecure|GEM2:NonSecure|GEM1:NonSecure|GEM3:NonSecure|PCIe:NonSecure|DP:NonSecure|NAND:NonSecure|GPU:NonSecure|USB1:NonSecure|USB0:NonSecure|LDMA:NonSecure|FDMA:NonSecure|QSPI:NonSecure|SD0:NonSecure} \ | |
CONFIG.PSU__PROTECTION__OCM_SEGMENTS {NONE} \ | |
CONFIG.PSU__PROTECTION__PRESUBSYSTEMS {NONE} \ | |
CONFIG.PSU__PROTECTION__SLAVES {\ | |
LPD;USB3_1_XHCI;FE300000;FE3FFFFF;1|LPD;USB3_1;FF9E0000;FF9EFFFF;1|LPD;USB3_0_XHCI;FE200000;FE2FFFFF;1|LPD;USB3_0;FF9D0000;FF9DFFFF;1|LPD;UART1;FF010000;FF01FFFF;1|LPD;UART0;FF000000;FF00FFFF;1|LPD;TTC3;FF140000;FF14FFFF;1|LPD;TTC2;FF130000;FF13FFFF;1|LPD;TTC1;FF120000;FF12FFFF;1|LPD;TTC0;FF110000;FF11FFFF;1|FPD;SWDT1;FD4D0000;FD4DFFFF;1|LPD;SWDT0;FF150000;FF15FFFF;1|LPD;SPI1;FF050000;FF05FFFF;1|LPD;SPI0;FF040000;FF04FFFF;1|FPD;SMMU_REG;FD5F0000;FD5FFFFF;1|FPD;SMMU;FD800000;FDFFFFFF;1|FPD;SIOU;FD3D0000;FD3DFFFF;1|FPD;SERDES;FD400000;FD47FFFF;1|LPD;SD1;FF170000;FF17FFFF;1|LPD;SD0;FF160000;FF16FFFF;1|FPD;SATA;FD0C0000;FD0CFFFF;0|LPD;RTC;FFA60000;FFA6FFFF;1|LPD;RSA_CORE;FFCE0000;FFCEFFFF;1|LPD;RPU;FF9A0000;FF9AFFFF;1|LPD;R5_TCM_RAM_GLOBAL;FFE00000;FFE3FFFF;1|LPD;R5_1_Instruction_Cache;FFEC0000;FFECFFFF;1|LPD;R5_1_Data_Cache;FFED0000;FFEDFFFF;1|LPD;R5_1_BTCM_GLOBAL;FFEB0000;FFEBFFFF;1|LPD;R5_1_ATCM_GLOBAL;FFE90000;FFE9FFFF;1|LPD;R5_0_Instruction_Cache;FFE40000;FFE4FFFF;1|LPD;R5_0_Data_Cache;FFE50000;FFE5FFFF;1|LPD;R5_0_BTCM_GLOBAL;FFE20000;FFE2FFFF;1|LPD;R5_0_ATCM_GLOBAL;FFE00000;FFE0FFFF;1|LPD;QSPI_Linear_Address;C0000000;DFFFFFFF;1|LPD;QSPI;FF0F0000;FF0FFFFF;0|LPD;PMU_RAM;FFDC0000;FFDDFFFF;1|LPD;PMU_GLOBAL;FFD80000;FFDBFFFF;1|FPD;PCIE_MAIN;FD0E0000;FD0EFFFF;0|FPD;PCIE_LOW;E0000000;EFFFFFFF;0|FPD;PCIE_HIGH2;8000000000;BFFFFFFFFF;0|FPD;PCIE_HIGH1;600000000;7FFFFFFFF;0|FPD;PCIE_DMA;FD0F0000;FD0FFFFF;0|FPD;PCIE_ATTRIB;FD480000;FD48FFFF;0|LPD;OCM_XMPU_CFG;FFA70000;FFA7FFFF;1|LPD;OCM_SLCR;FF960000;FF96FFFF;1|OCM;OCM;FFFC0000;FFFFFFFF;1|LPD;NAND;FF100000;FF10FFFF;0|LPD;MBISTJTAG;FFCF0000;FFCFFFFF;1|LPD;LPD_XPPU_SINK;FF9C0000;FF9CFFFF;1|LPD;LPD_XPPU;FF980000;FF98FFFF;1|LPD;LPD_SLCR_SECURE;FF4B0000;FF4DFFFF;1|LPD;LPD_SLCR;FF410000;FF4AFFFF;1|LPD;LPD_GPV;FE100000;FE1FFFFF;1|LPD;LPD_DMA_7;FFAF0000;FFAFFFFF;1|LPD;LPD_DMA_6;FFAE0000;FFAEFFFF;1|LPD;LPD_DMA_5;FFAD0000;FFADFFFF;1|LPD;LPD_DMA_4;FFAC0000;FFACFFFF;1|LPD;LPD_DMA_3;FFAB0000;FFABFFFF;1|LPD;LPD_DMA_2;FFAA0000;FFAAFFFF;1|LPD;LPD_DMA_1;FFA90000;FFA9FFFF;1|LPD;LPD_DMA_0;FFA80000;FFA8FFFF;1|LPD;IPI_CTRL;FF380000;FF3FFFFF;1|LPD;IOU_SLCR;FF180000;FF23FFFF;1|LPD;IOU_SECURE_SLCR;FF240000;FF24FFFF;1|LPD;IOU_SCNTRS;FF260000;FF26FFFF;1|LPD;IOU_SCNTR;FF250000;FF25FFFF;1|LPD;IOU_GPV;FE000000;FE0FFFFF;1|LPD;I2C1;FF030000;FF03FFFF;1|LPD;I2C0;FF020000;FF02FFFF;0|FPD;GPU;FD4B0000;FD4BFFFF;1|LPD;GPIO;FF0A0000;FF0AFFFF;1|LPD;GEM3;FF0E0000;FF0EFFFF;0|LPD;GEM2;FF0D0000;FF0DFFFF;0|LPD;GEM1;FF0C0000;FF0CFFFF;0|LPD;GEM0;FF0B0000;FF0BFFFF;0|FPD;FPD_XMPU_SINK;FD4F0000;FD4FFFFF;1|FPD;FPD_XMPU_CFG;FD5D0000;FD5DFFFF;1|FPD;FPD_SLCR_SECURE;FD690000;FD6CFFFF;1|FPD;FPD_SLCR;FD610000;FD68FFFF;1|FPD;FPD_DMA_CH7;FD570000;FD57FFFF;1|FPD;FPD_DMA_CH6;FD560000;FD56FFFF;1|FPD;FPD_DMA_CH5;FD550000;FD55FFFF;1|FPD;FPD_DMA_CH4;FD540000;FD54FFFF;1|FPD;FPD_DMA_CH3;FD530000;FD53FFFF;1|FPD;FPD_DMA_CH2;FD520000;FD52FFFF;1|FPD;FPD_DMA_CH1;FD510000;FD51FFFF;1|FPD;FPD_DMA_CH0;FD500000;FD50FFFF;1|LPD;EFUSE;FFCC0000;FFCCFFFF;1|FPD;Display\ | |
Port;FD4A0000;FD4AFFFF;1|FPD;DPDMA;FD4C0000;FD4CFFFF;1|FPD;DDR_XMPU5_CFG;FD050000;FD05FFFF;1|FPD;DDR_XMPU4_CFG;FD040000;FD04FFFF;1|FPD;DDR_XMPU3_CFG;FD030000;FD03FFFF;1|FPD;DDR_XMPU2_CFG;FD020000;FD02FFFF;1|FPD;DDR_XMPU1_CFG;FD010000;FD01FFFF;1|FPD;DDR_XMPU0_CFG;FD000000;FD00FFFF;1|FPD;DDR_QOS_CTRL;FD090000;FD09FFFF;1|FPD;DDR_PHY;FD080000;FD08FFFF;1|DDR;DDR_LOW;0;7FFFFFFF;1|DDR;DDR_HIGH;800000000;800000000;0|FPD;DDDR_CTRL;FD070000;FD070FFF;1|LPD;Coresight;FE800000;FEFFFFFF;1|LPD;CSU_DMA;FFC80000;FFC9FFFF;1|LPD;CSU;FFCA0000;FFCAFFFF;1|LPD;CRL_APB;FF5E0000;FF85FFFF;1|FPD;CRF_APB;FD1A0000;FD2DFFFF;1|FPD;CCI_REG;FD5E0000;FD5EFFFF;1|LPD;CAN1;FF070000;FF07FFFF;0|LPD;CAN0;FF060000;FF06FFFF;0|FPD;APU;FD5C0000;FD5CFFFF;1|LPD;APM_INTC_IOU;FFA20000;FFA2FFFF;1|LPD;APM_FPD_LPD;FFA30000;FFA3FFFF;1|FPD;APM_5;FD490000;FD49FFFF;1|FPD;APM_0;FD0B0000;FD0BFFFF;1|LPD;APM2;FFA10000;FFA1FFFF;1|LPD;APM1;FFA00000;FFA0FFFF;1|LPD;AMS;FFA50000;FFA5FFFF;1|FPD;AFI_5;FD3B0000;FD3BFFFF;1|FPD;AFI_4;FD3A0000;FD3AFFFF;1|FPD;AFI_3;FD390000;FD39FFFF;1|FPD;AFI_2;FD380000;FD38FFFF;1|FPD;AFI_1;FD370000;FD37FFFF;1|FPD;AFI_0;FD360000;FD36FFFF;1|LPD;AFIFM6;FF9B0000;FF9BFFFF;1|FPD;ACPU_GIC;F9010000;F907FFFF;1} \ | |
CONFIG.PSU__PROTECTION__SUBSYSTEMS {PMU Firmware:PMU|Secure Subsystem:} \ | |
CONFIG.PSU__PSS_ALT_REF_CLK__ENABLE {0} \ | |
CONFIG.PSU__PSS_ALT_REF_CLK__FREQMHZ {33.333} \ | |
CONFIG.PSU__PSS_REF_CLK__FREQMHZ {33.333333} \ | |
CONFIG.PSU__QSPI_COHERENCY {0} \ | |
CONFIG.PSU__QSPI_ROUTE_THROUGH_FPD {0} \ | |
CONFIG.PSU__QSPI__GRP_FBCLK__ENABLE {0} \ | |
CONFIG.PSU__QSPI__PERIPHERAL__ENABLE {0} \ | |
CONFIG.PSU__REPORT__DBGLOG {0} \ | |
CONFIG.PSU__RPU_COHERENCY {0} \ | |
CONFIG.PSU__RPU__POWER__ON {1} \ | |
CONFIG.PSU__SATA__LANE0__ENABLE {0} \ | |
CONFIG.PSU__SATA__LANE1__ENABLE {0} \ | |
CONFIG.PSU__SATA__PERIPHERAL__ENABLE {0} \ | |
CONFIG.PSU__SAXIGP0__DATA_WIDTH {128} \ | |
CONFIG.PSU__SAXIGP1__DATA_WIDTH {128} \ | |
CONFIG.PSU__SAXIGP2__DATA_WIDTH {128} \ | |
CONFIG.PSU__SAXIGP3__DATA_WIDTH {128} \ | |
CONFIG.PSU__SAXIGP4__DATA_WIDTH {128} \ | |
CONFIG.PSU__SAXIGP5__DATA_WIDTH {128} \ | |
CONFIG.PSU__SAXIGP6__DATA_WIDTH {128} \ | |
CONFIG.PSU__SD0_COHERENCY {0} \ | |
CONFIG.PSU__SD0_ROUTE_THROUGH_FPD {0} \ | |
CONFIG.PSU__SD0__CLK_100_SDR_OTAP_DLY {0x0} \ | |
CONFIG.PSU__SD0__CLK_200_SDR_OTAP_DLY {0x0} \ | |
CONFIG.PSU__SD0__CLK_50_DDR_ITAP_DLY {0x0} \ | |
CONFIG.PSU__SD0__CLK_50_DDR_OTAP_DLY {0x0} \ | |
CONFIG.PSU__SD0__CLK_50_SDR_ITAP_DLY {0x15} \ | |
CONFIG.PSU__SD0__CLK_50_SDR_OTAP_DLY {0x5} \ | |
CONFIG.PSU__SD0__DATA_TRANSFER_MODE {4Bit} \ | |
CONFIG.PSU__SD0__GRP_CD__ENABLE {1} \ | |
CONFIG.PSU__SD0__GRP_CD__IO {MIO 24} \ | |
CONFIG.PSU__SD0__GRP_POW__ENABLE {0} \ | |
CONFIG.PSU__SD0__GRP_WP__ENABLE {0} \ | |
CONFIG.PSU__SD0__PERIPHERAL__ENABLE {1} \ | |
CONFIG.PSU__SD0__PERIPHERAL__IO {MIO 13 .. 16 21 22} \ | |
CONFIG.PSU__SD0__RESET__ENABLE {0} \ | |
CONFIG.PSU__SD0__SLOT_TYPE {SD 2.0} \ | |
CONFIG.PSU__SD1_COHERENCY {0} \ | |
CONFIG.PSU__SD1_ROUTE_THROUGH_FPD {0} \ | |
CONFIG.PSU__SD1__CLK_100_SDR_OTAP_DLY {0x0} \ | |
CONFIG.PSU__SD1__CLK_200_SDR_OTAP_DLY {0x0} \ | |
CONFIG.PSU__SD1__CLK_50_DDR_ITAP_DLY {0x0} \ | |
CONFIG.PSU__SD1__CLK_50_DDR_OTAP_DLY {0x0} \ | |
CONFIG.PSU__SD1__CLK_50_SDR_ITAP_DLY {0x15} \ | |
CONFIG.PSU__SD1__CLK_50_SDR_OTAP_DLY {0x5} \ | |
CONFIG.PSU__SD1__DATA_TRANSFER_MODE {4Bit} \ | |
CONFIG.PSU__SD1__GRP_CD__ENABLE {0} \ | |
CONFIG.PSU__SD1__GRP_POW__ENABLE {0} \ | |
CONFIG.PSU__SD1__GRP_WP__ENABLE {0} \ | |
CONFIG.PSU__SD1__PERIPHERAL__ENABLE {1} \ | |
CONFIG.PSU__SD1__PERIPHERAL__IO {MIO 46 .. 51} \ | |
CONFIG.PSU__SD1__RESET__ENABLE {0} \ | |
CONFIG.PSU__SD1__SLOT_TYPE {SD 2.0} \ | |
CONFIG.PSU__SPI0_LOOP_SPI1__ENABLE {0} \ | |
CONFIG.PSU__SPI0__GRP_SS0__ENABLE {1} \ | |
CONFIG.PSU__SPI0__GRP_SS0__IO {MIO 41} \ | |
CONFIG.PSU__SPI0__GRP_SS1__ENABLE {0} \ | |
CONFIG.PSU__SPI0__GRP_SS2__ENABLE {0} \ | |
CONFIG.PSU__SPI0__PERIPHERAL__ENABLE {1} \ | |
CONFIG.PSU__SPI0__PERIPHERAL__IO {MIO 38 .. 43} \ | |
CONFIG.PSU__SPI1__GRP_SS0__ENABLE {1} \ | |
CONFIG.PSU__SPI1__GRP_SS0__IO {MIO 9} \ | |
CONFIG.PSU__SPI1__GRP_SS1__ENABLE {0} \ | |
CONFIG.PSU__SPI1__GRP_SS2__ENABLE {0} \ | |
CONFIG.PSU__SPI1__PERIPHERAL__ENABLE {1} \ | |
CONFIG.PSU__SPI1__PERIPHERAL__IO {MIO 6 .. 11} \ | |
CONFIG.PSU__SWDT0__CLOCK__ENABLE {0} \ | |
CONFIG.PSU__SWDT0__PERIPHERAL__ENABLE {1} \ | |
CONFIG.PSU__SWDT0__PERIPHERAL__IO {NA} \ | |
CONFIG.PSU__SWDT0__RESET__ENABLE {0} \ | |
CONFIG.PSU__SWDT1__CLOCK__ENABLE {0} \ | |
CONFIG.PSU__SWDT1__PERIPHERAL__ENABLE {1} \ | |
CONFIG.PSU__SWDT1__PERIPHERAL__IO {NA} \ | |
CONFIG.PSU__SWDT1__RESET__ENABLE {0} \ | |
CONFIG.PSU__TCM0A__POWER__ON {1} \ | |
CONFIG.PSU__TCM0B__POWER__ON {1} \ | |
CONFIG.PSU__TCM1A__POWER__ON {1} \ | |
CONFIG.PSU__TCM1B__POWER__ON {1} \ | |
CONFIG.PSU__TESTSCAN__PERIPHERAL__ENABLE {0} \ | |
CONFIG.PSU__TRACE_PIPELINE_WIDTH {8} \ | |
CONFIG.PSU__TRACE__INTERNAL_WIDTH {32} \ | |
CONFIG.PSU__TRACE__PERIPHERAL__ENABLE {0} \ | |
CONFIG.PSU__TRISTATE__INVERTED {1} \ | |
CONFIG.PSU__TSU__BUFG_PORT_PAIR {0} \ | |
CONFIG.PSU__TTC0__CLOCK__ENABLE {0} \ | |
CONFIG.PSU__TTC0__PERIPHERAL__ENABLE {1} \ | |
CONFIG.PSU__TTC0__PERIPHERAL__IO {NA} \ | |
CONFIG.PSU__TTC0__WAVEOUT__ENABLE {0} \ | |
CONFIG.PSU__TTC1__CLOCK__ENABLE {0} \ | |
CONFIG.PSU__TTC1__PERIPHERAL__ENABLE {1} \ | |
CONFIG.PSU__TTC1__PERIPHERAL__IO {NA} \ | |
CONFIG.PSU__TTC1__WAVEOUT__ENABLE {0} \ | |
CONFIG.PSU__TTC2__CLOCK__ENABLE {0} \ | |
CONFIG.PSU__TTC2__PERIPHERAL__ENABLE {1} \ | |
CONFIG.PSU__TTC2__PERIPHERAL__IO {NA} \ | |
CONFIG.PSU__TTC2__WAVEOUT__ENABLE {0} \ | |
CONFIG.PSU__TTC3__CLOCK__ENABLE {0} \ | |
CONFIG.PSU__TTC3__PERIPHERAL__ENABLE {1} \ | |
CONFIG.PSU__TTC3__PERIPHERAL__IO {NA} \ | |
CONFIG.PSU__TTC3__WAVEOUT__ENABLE {0} \ | |
CONFIG.PSU__UART0_LOOP_UART1__ENABLE {0} \ | |
CONFIG.PSU__UART0__BAUD_RATE {115200} \ | |
CONFIG.PSU__UART0__MODEM__ENABLE {0} \ | |
CONFIG.PSU__UART0__PERIPHERAL__ENABLE {1} \ | |
CONFIG.PSU__UART0__PERIPHERAL__IO {MIO 2 .. 3} \ | |
CONFIG.PSU__UART1__BAUD_RATE {115200} \ | |
CONFIG.PSU__UART1__MODEM__ENABLE {0} \ | |
CONFIG.PSU__UART1__PERIPHERAL__ENABLE {1} \ | |
CONFIG.PSU__UART1__PERIPHERAL__IO {MIO 0 .. 1} \ | |
CONFIG.PSU__USB0_COHERENCY {0} \ | |
CONFIG.PSU__USB0__PERIPHERAL__ENABLE {1} \ | |
CONFIG.PSU__USB0__PERIPHERAL__IO {MIO 52 .. 63} \ | |
CONFIG.PSU__USB0__REF_CLK_FREQ {26} \ | |
CONFIG.PSU__USB0__REF_CLK_SEL {Ref Clk0} \ | |
CONFIG.PSU__USB0__RESET__ENABLE {0} \ | |
CONFIG.PSU__USB1_COHERENCY {0} \ | |
CONFIG.PSU__USB1__PERIPHERAL__ENABLE {1} \ | |
CONFIG.PSU__USB1__PERIPHERAL__IO {MIO 64 .. 75} \ | |
CONFIG.PSU__USB1__REF_CLK_FREQ {26} \ | |
CONFIG.PSU__USB1__REF_CLK_SEL {Ref Clk0} \ | |
CONFIG.PSU__USB1__RESET__ENABLE {0} \ | |
CONFIG.PSU__USB2_0__EMIO__ENABLE {0} \ | |
CONFIG.PSU__USB2_1__EMIO__ENABLE {0} \ | |
CONFIG.PSU__USB3_0__EMIO__ENABLE {0} \ | |
CONFIG.PSU__USB3_0__PERIPHERAL__ENABLE {1} \ | |
CONFIG.PSU__USB3_0__PERIPHERAL__IO {GT Lane2} \ | |
CONFIG.PSU__USB3_1__EMIO__ENABLE {0} \ | |
CONFIG.PSU__USB3_1__PERIPHERAL__ENABLE {1} \ | |
CONFIG.PSU__USB3_1__PERIPHERAL__IO {GT Lane3} \ | |
CONFIG.PSU__USB__RESET__MODE {Boot Pin} \ | |
CONFIG.PSU__USB__RESET__POLARITY {Active Low} \ | |
CONFIG.PSU__USE_DIFF_RW_CLK_GP0 {0} \ | |
CONFIG.PSU__USE_DIFF_RW_CLK_GP1 {0} \ | |
CONFIG.PSU__USE_DIFF_RW_CLK_GP2 {0} \ | |
CONFIG.PSU__USE_DIFF_RW_CLK_GP3 {0} \ | |
CONFIG.PSU__USE_DIFF_RW_CLK_GP4 {0} \ | |
CONFIG.PSU__USE_DIFF_RW_CLK_GP5 {0} \ | |
CONFIG.PSU__USE_DIFF_RW_CLK_GP6 {0} \ | |
CONFIG.PSU__USE__ADMA {0} \ | |
CONFIG.PSU__USE__APU_LEGACY_INTERRUPT {0} \ | |
CONFIG.PSU__USE__AUDIO {0} \ | |
CONFIG.PSU__USE__CLK {0} \ | |
CONFIG.PSU__USE__CLK0 {0} \ | |
CONFIG.PSU__USE__CLK1 {0} \ | |
CONFIG.PSU__USE__CLK2 {0} \ | |
CONFIG.PSU__USE__CLK3 {0} \ | |
CONFIG.PSU__USE__CROSS_TRIGGER {0} \ | |
CONFIG.PSU__USE__DDR_INTF_REQUESTED {0} \ | |
CONFIG.PSU__USE__DEBUG__TEST {0} \ | |
CONFIG.PSU__USE__EVENT_RPU {0} \ | |
CONFIG.PSU__USE__FABRIC__RST {1} \ | |
CONFIG.PSU__USE__FTM {0} \ | |
CONFIG.PSU__USE__GDMA {0} \ | |
CONFIG.PSU__USE__IRQ {0} \ | |
CONFIG.PSU__USE__IRQ0 {1} \ | |
CONFIG.PSU__USE__IRQ1 {0} \ | |
CONFIG.PSU__USE__M_AXI_GP0 {1} \ | |
CONFIG.PSU__USE__M_AXI_GP1 {0} \ | |
CONFIG.PSU__USE__M_AXI_GP2 {0} \ | |
CONFIG.PSU__USE__PROC_EVENT_BUS {0} \ | |
CONFIG.PSU__USE__RPU_LEGACY_INTERRUPT {0} \ | |
CONFIG.PSU__USE__RST0 {0} \ | |
CONFIG.PSU__USE__RST1 {0} \ | |
CONFIG.PSU__USE__RST2 {0} \ | |
CONFIG.PSU__USE__RST3 {0} \ | |
CONFIG.PSU__USE__RTC {0} \ | |
CONFIG.PSU__USE__STM {0} \ | |
CONFIG.PSU__USE__S_AXI_ACE {0} \ | |
CONFIG.PSU__USE__S_AXI_ACP {0} \ | |
CONFIG.PSU__USE__S_AXI_GP0 {1} \ | |
CONFIG.PSU__USE__S_AXI_GP1 {0} \ | |
CONFIG.PSU__USE__S_AXI_GP2 {0} \ | |
CONFIG.PSU__USE__S_AXI_GP3 {0} \ | |
CONFIG.PSU__USE__S_AXI_GP4 {0} \ | |
CONFIG.PSU__USE__S_AXI_GP5 {0} \ | |
CONFIG.PSU__USE__S_AXI_GP6 {0} \ | |
CONFIG.PSU__USE__USB3_0_HUB {0} \ | |
CONFIG.PSU__USE__USB3_1_HUB {0} \ | |
CONFIG.PSU__USE__VIDEO {0} \ | |
CONFIG.PSU__VIDEO_REF_CLK__ENABLE {0} \ | |
CONFIG.PSU__VIDEO_REF_CLK__FREQMHZ {33.333} \ | |
CONFIG.QSPI_BOARD_INTERFACE {custom} \ | |
CONFIG.SATA_BOARD_INTERFACE {custom} \ | |
CONFIG.SD0_BOARD_INTERFACE {custom} \ | |
CONFIG.SD1_BOARD_INTERFACE {custom} \ | |
CONFIG.SPI0_BOARD_INTERFACE {custom} \ | |
CONFIG.SPI1_BOARD_INTERFACE {custom} \ | |
CONFIG.SUBPRESET1 {Custom} \ | |
CONFIG.SUBPRESET2 {Custom} \ | |
CONFIG.SWDT0_BOARD_INTERFACE {custom} \ | |
CONFIG.SWDT1_BOARD_INTERFACE {custom} \ | |
CONFIG.TRACE_BOARD_INTERFACE {custom} \ | |
CONFIG.TTC0_BOARD_INTERFACE {custom} \ | |
CONFIG.TTC1_BOARD_INTERFACE {custom} \ | |
CONFIG.TTC2_BOARD_INTERFACE {custom} \ | |
CONFIG.TTC3_BOARD_INTERFACE {custom} \ | |
CONFIG.UART0_BOARD_INTERFACE {custom} \ | |
CONFIG.UART1_BOARD_INTERFACE {custom} \ | |
CONFIG.USB0_BOARD_INTERFACE {custom} \ | |
CONFIG.USB1_BOARD_INTERFACE {custom} \ | |
] $zynq_ultra_ps_e_0 | |
# Create interface connections | |
connect_bd_intf_net -intf_net S00_AXI_1 [get_bd_intf_pins ps8_0_axi_periph/S00_AXI] [get_bd_intf_pins zynq_ultra_ps_e_0/M_AXI_HPM0_FPD] | |
connect_bd_intf_net -intf_net axi_vdma_0_M_AXI_S2MM [get_bd_intf_pins axi_vdma_0/M_AXI_S2MM] [get_bd_intf_pins zynq_ultra_ps_e_0/S_AXI_HPC0_FPD] | |
connect_bd_intf_net -intf_net demosaic_root_0_p_odata [get_bd_intf_pins axi_vdma_0/S_AXIS_S2MM] [get_bd_intf_pins demosaic_root_0/p_odata] | |
connect_bd_intf_net -intf_net mipi_csi2_rx_subsyst_0_video_out [get_bd_intf_pins demosaic_root_0/p_idata] [get_bd_intf_pins mipi_csi2_rx_subsyst_0/video_out] | |
connect_bd_intf_net -intf_net mipi_phy_csi_1 [get_bd_intf_ports mipi_phy_csi] [get_bd_intf_pins mipi_csi2_rx_subsyst_0/mipi_phy_if] | |
connect_bd_intf_net -intf_net ps8_0_axi_periph_M00_AXI [get_bd_intf_pins mipi_csi2_rx_subsyst_0/csirxss_s_axi] [get_bd_intf_pins ps8_0_axi_periph/M00_AXI] | |
connect_bd_intf_net -intf_net ps8_0_axi_periph_M01_AXI [get_bd_intf_pins axi_vdma_0/S_AXI_LITE] [get_bd_intf_pins ps8_0_axi_periph/M01_AXI] | |
connect_bd_intf_net -intf_net ps8_0_axi_periph_M02_AXI [get_bd_intf_pins demosaic_root_0/s_axi_BUS_AXI4LS] [get_bd_intf_pins ps8_0_axi_periph/M02_AXI] | |
# Create port connections | |
connect_bd_net -net axi_vdma_0_s2mm_introut [get_bd_pins axi_vdma_0/s2mm_introut] [get_bd_pins zynq_ultra_ps_e_0/pl_ps_irq0] | |
connect_bd_net -net clk_wiz_0_clk_out1 [get_bd_pins clk_wiz_0/clk_out1] [get_bd_pins mipi_csi2_rx_subsyst_0/dphy_clk_200M] | |
connect_bd_net -net rst_ps8_0_99M_interconnect_aresetn [get_bd_pins ps8_0_axi_periph/ARESETN] [get_bd_pins rst_ps8_0_99M/interconnect_aresetn] | |
connect_bd_net -net rst_ps8_0_99M_peripheral_aresetn [get_bd_pins axi_vdma_0/axi_resetn] [get_bd_pins demosaic_root_0/ap_rst_n] [get_bd_pins mipi_csi2_rx_subsyst_0/lite_aresetn] [get_bd_pins mipi_csi2_rx_subsyst_0/video_aresetn] [get_bd_pins ps8_0_axi_periph/M00_ARESETN] [get_bd_pins ps8_0_axi_periph/M01_ARESETN] [get_bd_pins ps8_0_axi_periph/M02_ARESETN] [get_bd_pins ps8_0_axi_periph/S00_ARESETN] [get_bd_pins rst_ps8_0_99M/peripheral_aresetn] | |
connect_bd_net -net util_vector_logic_0_Res [get_bd_pins clk_wiz_0/reset] [get_bd_pins util_vector_logic_0/Res] | |
connect_bd_net -net zynq_ultra_ps_e_0_pl_clk0 [get_bd_pins axi_vdma_0/m_axi_s2mm_aclk] [get_bd_pins axi_vdma_0/s_axi_lite_aclk] [get_bd_pins axi_vdma_0/s_axis_s2mm_aclk] [get_bd_pins clk_wiz_0/clk_in1] [get_bd_pins demosaic_root_0/ap_clk] [get_bd_pins mipi_csi2_rx_subsyst_0/lite_aclk] [get_bd_pins mipi_csi2_rx_subsyst_0/video_aclk] [get_bd_pins ps8_0_axi_periph/ACLK] [get_bd_pins ps8_0_axi_periph/M00_ACLK] [get_bd_pins ps8_0_axi_periph/M01_ACLK] [get_bd_pins ps8_0_axi_periph/M02_ACLK] [get_bd_pins ps8_0_axi_periph/S00_ACLK] [get_bd_pins rst_ps8_0_99M/slowest_sync_clk] [get_bd_pins zynq_ultra_ps_e_0/maxihpm0_fpd_aclk] [get_bd_pins zynq_ultra_ps_e_0/pl_clk0] [get_bd_pins zynq_ultra_ps_e_0/saxihpc0_fpd_aclk] | |
connect_bd_net -net zynq_ultra_ps_e_0_pl_resetn0 [get_bd_pins rst_ps8_0_99M/ext_reset_in] [get_bd_pins util_vector_logic_0/Op1] [get_bd_pins zynq_ultra_ps_e_0/pl_resetn0] | |
# Create address segments | |
assign_bd_address -offset 0x00000000 -range 0x80000000 -target_address_space [get_bd_addr_spaces axi_vdma_0/Data_S2MM] [get_bd_addr_segs zynq_ultra_ps_e_0/SAXIGP0/HPC0_DDR_LOW] -force | |
assign_bd_address -offset 0xFF000000 -range 0x01000000 -target_address_space [get_bd_addr_spaces axi_vdma_0/Data_S2MM] [get_bd_addr_segs zynq_ultra_ps_e_0/SAXIGP0/HPC0_LPS_OCM] -force | |
assign_bd_address -offset 0xA0010000 -range 0x00001000 -target_address_space [get_bd_addr_spaces zynq_ultra_ps_e_0/Data] [get_bd_addr_segs axi_vdma_0/S_AXI_LITE/Reg] -force | |
assign_bd_address -offset 0xA0020000 -range 0x00010000 -target_address_space [get_bd_addr_spaces zynq_ultra_ps_e_0/Data] [get_bd_addr_segs demosaic_root_0/s_axi_BUS_AXI4LS/Reg] -force | |
assign_bd_address -offset 0xA0000000 -range 0x00010000 -target_address_space [get_bd_addr_spaces zynq_ultra_ps_e_0/Data] [get_bd_addr_segs mipi_csi2_rx_subsyst_0/csirxss_s_axi/Reg] -force | |
# Perform GUI Layout | |
regenerate_bd_layout -layout_string { | |
"ActiveEmotionalView":"Default View", | |
"Default View_ScaleFactor":"0.417982", | |
"Default View_TopLeft":"-134,-323", | |
"ExpandedHierarchyInLayout":"", | |
"guistr":"# # String gsaved with Nlview 7.0r4 2019-12-20 bk=1.5203 VDI=41 GEI=36 GUI=JA:10.0 TLS | |
# -string -flagsOSRD | |
preplace port mipi_phy_csi -pg 1 -lvl 0 -x 0 -y 160 -defaultsOSRD | |
preplace inst axi_vdma_0 -pg 1 -lvl 5 -x 1600 -y 190 -defaultsOSRD | |
preplace inst clk_wiz_0 -pg 1 -lvl 2 -x 510 -y 90 -defaultsOSRD | |
preplace inst mipi_csi2_rx_subsyst_0 -pg 1 -lvl 3 -x 870 -y 220 -defaultsOSRD | |
preplace inst demosaic_root_0 -pg 1 -lvl 4 -x 1210 -y 470 -defaultsOSRD | |
preplace inst ps8_0_axi_periph -pg 1 -lvl 7 -x 2590 -y 170 -defaultsOSRD | |
preplace inst rst_ps8_0_99M -pg 1 -lvl 2 -x 510 -y 330 -defaultsOSRD | |
preplace inst util_vector_logic_0 -pg 1 -lvl 1 -x 170 -y 80 -defaultsOSRD | |
preplace inst zynq_ultra_ps_e_0 -pg 1 -lvl 6 -x 2100 -y 180 -defaultsOSRD | |
preplace netloc axi_vdma_0_s2mm_introut 1 5 1 N 210 | |
preplace netloc clk_wiz_0_clk_out1 1 2 1 700 80n | |
preplace netloc rst_ps8_0_99M_interconnect_aresetn 1 2 5 690J 50 NJ 50 NJ 50 NJ 50 2430 | |
preplace netloc rst_ps8_0_99M_peripheral_aresetn 1 2 5 720 100 1010 100 1380 60 NJ 60 2410 | |
preplace netloc util_vector_logic_0_Res 1 1 1 NJ 80 | |
preplace netloc zynq_ultra_ps_e_0_pl_clk0 1 1 6 330 10 710 90 1030 90 1400 70 1800 90 2440 | |
preplace netloc zynq_ultra_ps_e_0_pl_resetn0 1 0 7 20 20 320 20 NJ 20 NJ 20 NJ 20 NJ 20 2400 | |
preplace netloc S00_AXI_1 1 6 1 2420 70n | |
preplace netloc axi_vdma_0_M_AXI_S2MM 1 5 1 1790 150n | |
preplace netloc demosaic_root_0_p_odata 1 4 1 1390 150n | |
preplace netloc mipi_csi2_rx_subsyst_0_video_out 1 3 1 1020 170n | |
preplace netloc mipi_phy_csi_1 1 0 3 NJ 160 NJ 160 NJ | |
preplace netloc ps8_0_axi_periph_M00_AXI 1 2 6 730 340 NJ 340 NJ 340 NJ 340 NJ 340 2750 | |
preplace netloc ps8_0_axi_periph_M01_AXI 1 4 4 1410 10 NJ 10 NJ 10 2760 | |
preplace netloc ps8_0_axi_periph_M02_AXI 1 3 5 1040 350 NJ 350 NJ 350 NJ 350 2740 | |
levelinfo -pg 1 0 170 510 870 1210 1600 2100 2590 2780 | |
pagesize -pg 1 -db -bbox -sgen -140 0 2780 560 | |
" | |
} | |
# Restore current instance | |
current_bd_instance $oldCurInst | |
validate_bd_design | |
save_bd_design | |
close_bd_design $design_name | |
} | |
# End of cr_bd_design_1() | |
cr_bd_design_1 "" | |
set_property EXCLUDE_DEBUG_LOGIC "0" [get_files design_1.bd ] | |
set_property GENERATE_SYNTH_CHECKPOINT "1" [get_files design_1.bd ] | |
set_property IS_ENABLED "1" [get_files design_1.bd ] | |
set_property IS_GLOBAL_INCLUDE "0" [get_files design_1.bd ] | |
set_property LIBRARY "xil_defaultlib" [get_files design_1.bd ] | |
set_property PATH_MODE "RelativeFirst" [get_files design_1.bd ] | |
set_property PFM_NAME "" [get_files design_1.bd ] | |
set_property REGISTERED_WITH_MANAGER "1" [get_files design_1.bd ] | |
set_property SYNTH_CHECKPOINT_MODE "Hierarchical" [get_files design_1.bd ] | |
set_property USED_IN "synthesis implementation simulation" [get_files design_1.bd ] | |
set_property USED_IN_IMPLEMENTATION "1" [get_files design_1.bd ] | |
set_property USED_IN_SIMULATION "1" [get_files design_1.bd ] | |
set_property USED_IN_SYNTHESIS "1" [get_files design_1.bd ] | |
set idrFlowPropertiesConstraints "" | |
catch { | |
set idrFlowPropertiesConstraints [get_param runs.disableIDRFlowPropertyConstraints] | |
set_param runs.disableIDRFlowPropertyConstraints 1 | |
} | |
# Create 'synth_1' run (if not found) | |
if {[string equal [get_runs -quiet synth_1] ""]} { | |
create_run -name synth_1 -part xczu3eg-sbva484-1-i -flow {Vivado Synthesis 2018} -strategy "Vivado Synthesis Defaults" -report_strategy {No Reports} -constrset constrs_1 | |
} else { | |
set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1] | |
set_property flow "Vivado Synthesis 2018" [get_runs synth_1] | |
} | |
set obj [get_runs synth_1] | |
set_property set_report_strategy_name 1 $obj | |
set_property report_strategy {Vivado Synthesis Default Reports} $obj | |
set_property set_report_strategy_name 0 $obj | |
# Create 'synth_1_synth_report_utilization_0' report (if not found) | |
if { [ string equal [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] "" ] } { | |
create_report_config -report_name synth_1_synth_report_utilization_0 -report_type report_utilization:1.0 -steps synth_design -runs synth_1 | |
} | |
set obj [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] | |
if { $obj != "" } { | |
set_property -name "is_enabled" -value "1" -objects $obj | |
set_property -name "display_name" -value "Utilization - Synth Design" -objects $obj | |
set_property -name "options.pblocks" -value "" -objects $obj | |
set_property -name "options.cells" -value "" -objects $obj | |
set_property -name "options.slr" -value "0" -objects $obj | |
set_property -name "options.packthru" -value "0" -objects $obj | |
set_property -name "options.hierarchical" -value "0" -objects $obj | |
set_property -name "options.hierarchical_depth" -value "" -objects $obj | |
set_property -name "options.hierarchical_percentages" -value "0" -objects $obj | |
set_property -name "options.more_options" -value "" -objects $obj | |
} | |
set obj [get_runs synth_1] | |
set_property -name "constrset" -value "constrs_1" -objects $obj | |
set_property -name "description" -value "Vivado Synthesis Defaults" -objects $obj | |
set_property -name "flow" -value "Vivado Synthesis 2018" -objects $obj | |
set_property -name "name" -value "synth_1" -objects $obj | |
set_property -name "needs_refresh" -value "0" -objects $obj | |
set_property -name "srcset" -value "sources_1" -objects $obj | |
set_property -name "incremental_checkpoint" -value "" -objects $obj | |
set_property -name "auto_incremental_checkpoint" -value "1" -objects $obj | |
set_property -name "rqs_files" -value "" -objects $obj | |
set_property -name "auto_rqs.suggestion_run" -value "" -objects $obj | |
set_property -name "incremental_checkpoint.more_options" -value "" -objects $obj | |
set_property -name "include_in_archive" -value "1" -objects $obj | |
set_property -name "gen_full_bitstream" -value "1" -objects $obj | |
set_property -name "write_incremental_synth_checkpoint" -value "0" -objects $obj | |
set_property -name "auto_incremental_checkpoint.directory" -value "$proj_dir/ultra96_design.srcs/utils_1/imports/synth_1" -objects $obj | |
set_property -name "min_rqa_score" -value "0" -objects $obj | |
set_property -name "strategy" -value "Vivado Synthesis Defaults" -objects $obj | |
set_property -name "steps.synth_design.tcl.pre" -value "" -objects $obj | |
set_property -name "steps.synth_design.tcl.post" -value "" -objects $obj | |
set_property -name "steps.synth_design.args.flatten_hierarchy" -value "rebuilt" -objects $obj | |
set_property -name "steps.synth_design.args.gated_clock_conversion" -value "off" -objects $obj | |
set_property -name "steps.synth_design.args.bufg" -value "12" -objects $obj | |
set_property -name "steps.synth_design.args.fanout_limit" -value "10000" -objects $obj | |
set_property -name "steps.synth_design.args.directive" -value "Default" -objects $obj | |
set_property -name "steps.synth_design.args.retiming" -value "0" -objects $obj | |
set_property -name "steps.synth_design.args.fsm_extraction" -value "auto" -objects $obj | |
set_property -name "steps.synth_design.args.keep_equivalent_registers" -value "0" -objects $obj | |
set_property -name "steps.synth_design.args.resource_sharing" -value "auto" -objects $obj | |
set_property -name "steps.synth_design.args.control_set_opt_threshold" -value "auto" -objects $obj | |
set_property -name "steps.synth_design.args.no_lc" -value "0" -objects $obj | |
set_property -name "steps.synth_design.args.no_srlextract" -value "0" -objects $obj | |
set_property -name "steps.synth_design.args.shreg_min_size" -value "3" -objects $obj | |
set_property -name "steps.synth_design.args.max_bram" -value "-1" -objects $obj | |
set_property -name "steps.synth_design.args.max_uram" -value "-1" -objects $obj | |
set_property -name "steps.synth_design.args.max_dsp" -value "-1" -objects $obj | |
set_property -name "steps.synth_design.args.max_bram_cascade_height" -value "-1" -objects $obj | |
set_property -name "steps.synth_design.args.max_uram_cascade_height" -value "-1" -objects $obj | |
set_property -name "steps.synth_design.args.cascade_dsp" -value "auto" -objects $obj | |
set_property -name "steps.synth_design.args.assert" -value "0" -objects $obj | |
set_property -name "steps.synth_design.args.more options" -value "" -objects $obj | |
# set the current synth run | |
current_run -synthesis [get_runs synth_1] | |
# Create 'impl_1' run (if not found) | |
if {[string equal [get_runs -quiet impl_1] ""]} { | |
create_run -name impl_1 -part xczu3eg-sbva484-1-i -flow {Vivado Implementation 2018} -strategy "Vivado Implementation Defaults" -report_strategy {No Reports} -constrset constrs_1 -parent_run synth_1 | |
} else { | |
set_property strategy "Vivado Implementation Defaults" [get_runs impl_1] | |
set_property flow "Vivado Implementation 2018" [get_runs impl_1] | |
} | |
set obj [get_runs impl_1] | |
set_property set_report_strategy_name 1 $obj | |
set_property report_strategy {Vivado Implementation Default Reports} $obj | |
set_property set_report_strategy_name 0 $obj | |
# Create 'impl_1_init_report_timing_summary_0' report (if not found) | |
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] "" ] } { | |
create_report_config -report_name impl_1_init_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps init_design -runs impl_1 | |
} | |
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] | |
if { $obj != "" } { | |
set_property -name "is_enabled" -value "0" -objects $obj | |
set_property -name "display_name" -value "Timing Summary - Design Initialization" -objects $obj | |
set_property -name "options.check_timing_verbose" -value "0" -objects $obj | |
set_property -name "options.delay_type" -value "" -objects $obj | |
set_property -name "options.setup" -value "0" -objects $obj | |
set_property -name "options.hold" -value "0" -objects $obj | |
set_property -name "options.max_paths" -value "10" -objects $obj | |
set_property -name "options.nworst" -value "" -objects $obj | |
set_property -name "options.unique_pins" -value "0" -objects $obj | |
set_property -name "options.path_type" -value "" -objects $obj | |
set_property -name "options.slack_lesser_than" -value "" -objects $obj | |
set_property -name "options.report_unconstrained" -value "0" -objects $obj | |
set_property -name "options.warn_on_violation" -value "0" -objects $obj | |
set_property -name "options.significant_digits" -value "" -objects $obj | |
set_property -name "options.cell" -value "" -objects $obj | |
set_property -name "options.more_options" -value "" -objects $obj | |
} | |
# Create 'impl_1_opt_report_drc_0' report (if not found) | |
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] "" ] } { | |
create_report_config -report_name impl_1_opt_report_drc_0 -report_type report_drc:1.0 -steps opt_design -runs impl_1 | |
} | |
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] | |
if { $obj != "" } { | |
set_property -name "is_enabled" -value "1" -objects $obj | |
set_property -name "display_name" -value "DRC - Opt Design" -objects $obj | |
set_property -name "options.upgrade_cw" -value "0" -objects $obj | |
set_property -name "options.checks" -value "" -objects $obj | |
set_property -name "options.ruledecks" -value "" -objects $obj | |
set_property -name "options.more_options" -value "" -objects $obj | |
} | |
# Create 'impl_1_opt_report_timing_summary_0' report (if not found) | |
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] "" ] } { | |
create_report_config -report_name impl_1_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps opt_design -runs impl_1 | |
} | |
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] | |
if { $obj != "" } { | |
set_property -name "is_enabled" -value "0" -objects $obj | |
set_property -name "display_name" -value "Timing Summary - Opt Design" -objects $obj | |
set_property -name "options.check_timing_verbose" -value "0" -objects $obj | |
set_property -name "options.delay_type" -value "" -objects $obj | |
set_property -name "options.setup" -value "0" -objects $obj | |
set_property -name "options.hold" -value "0" -objects $obj | |
set_property -name "options.max_paths" -value "10" -objects $obj | |
set_property -name "options.nworst" -value "" -objects $obj | |
set_property -name "options.unique_pins" -value "0" -objects $obj | |
set_property -name "options.path_type" -value "" -objects $obj | |
set_property -name "options.slack_lesser_than" -value "" -objects $obj | |
set_property -name "options.report_unconstrained" -value "0" -objects $obj | |
set_property -name "options.warn_on_violation" -value "0" -objects $obj | |
set_property -name "options.significant_digits" -value "" -objects $obj | |
set_property -name "options.cell" -value "" -objects $obj | |
set_property -name "options.more_options" -value "" -objects $obj | |
} | |
# Create 'impl_1_power_opt_report_timing_summary_0' report (if not found) | |
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] "" ] } { | |
create_report_config -report_name impl_1_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps power_opt_design -runs impl_1 | |
} | |
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] | |
if { $obj != "" } { | |
set_property -name "is_enabled" -value "0" -objects $obj | |
set_property -name "display_name" -value "Timing Summary - Power Opt Design" -objects $obj | |
set_property -name "options.check_timing_verbose" -value "0" -objects $obj | |
set_property -name "options.delay_type" -value "" -objects $obj | |
set_property -name "options.setup" -value "0" -objects $obj | |
set_property -name "options.hold" -value "0" -objects $obj | |
set_property -name "options.max_paths" -value "10" -objects $obj | |
set_property -name "options.nworst" -value "" -objects $obj | |
set_property -name "options.unique_pins" -value "0" -objects $obj | |
set_property -name "options.path_type" -value "" -objects $obj | |
set_property -name "options.slack_lesser_than" -value "" -objects $obj | |
set_property -name "options.report_unconstrained" -value "0" -objects $obj | |
set_property -name "options.warn_on_violation" -value "0" -objects $obj | |
set_property -name "options.significant_digits" -value "" -objects $obj | |
set_property -name "options.cell" -value "" -objects $obj | |
set_property -name "options.more_options" -value "" -objects $obj | |
} | |
# Create 'impl_1_place_report_io_0' report (if not found) | |
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] "" ] } { | |
create_report_config -report_name impl_1_place_report_io_0 -report_type report_io:1.0 -steps place_design -runs impl_1 | |
} | |
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] | |
if { $obj != "" } { | |
set_property -name "is_enabled" -value "1" -objects $obj | |
set_property -name "display_name" -value "IO - Place Design" -objects $obj | |
set_property -name "options.more_options" -value "" -objects $obj | |
} | |
# Create 'impl_1_place_report_utilization_0' report (if not found) | |
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] "" ] } { | |
create_report_config -report_name impl_1_place_report_utilization_0 -report_type report_utilization:1.0 -steps place_design -runs impl_1 | |
} | |
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] | |
if { $obj != "" } { | |
set_property -name "is_enabled" -value "1" -objects $obj | |
set_property -name "display_name" -value "Utilization - Place Design" -objects $obj | |
set_property -name "options.pblocks" -value "" -objects $obj | |
set_property -name "options.cells" -value "" -objects $obj | |
set_property -name "options.slr" -value "0" -objects $obj | |
set_property -name "options.packthru" -value "0" -objects $obj | |
set_property -name "options.hierarchical" -value "0" -objects $obj | |
set_property -name "options.hierarchical_depth" -value "" -objects $obj | |
set_property -name "options.hierarchical_percentages" -value "0" -objects $obj | |
set_property -name "options.more_options" -value "" -objects $obj | |
} | |
# Create 'impl_1_place_report_control_sets_0' report (if not found) | |
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] "" ] } { | |
create_report_config -report_name impl_1_place_report_control_sets_0 -report_type report_control_sets:1.0 -steps place_design -runs impl_1 | |
} | |
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] | |
if { $obj != "" } { | |
set_property -name "is_enabled" -value "1" -objects $obj | |
set_property -name "display_name" -value "Control Sets - Place Design" -objects $obj | |
set_property -name "options.verbose" -value "1" -objects $obj | |
set_property -name "options.cells" -value "" -objects $obj | |
set_property -name "options.more_options" -value "" -objects $obj | |
} | |
# Create 'impl_1_place_report_incremental_reuse_0' report (if not found) | |
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] "" ] } { | |
create_report_config -report_name impl_1_place_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1 | |
} | |
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] | |
if { $obj != "" } { | |
set_property -name "is_enabled" -value "0" -objects $obj | |
set_property -name "display_name" -value "Incremental Reuse - Place Design" -objects $obj | |
set_property -name "options.cells" -value "" -objects $obj | |
set_property -name "options.hierarchical" -value "0" -objects $obj | |
set_property -name "options.hierarchical_depth" -value "" -objects $obj | |
set_property -name "options.more_options" -value "" -objects $obj | |
} | |
# Create 'impl_1_place_report_incremental_reuse_1' report (if not found) | |
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] "" ] } { | |
create_report_config -report_name impl_1_place_report_incremental_reuse_1 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1 | |
} | |
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] | |
if { $obj != "" } { | |
set_property -name "is_enabled" -value "0" -objects $obj | |
set_property -name "display_name" -value "Incremental Reuse - Place Design" -objects $obj | |
set_property -name "options.cells" -value "" -objects $obj | |
set_property -name "options.hierarchical" -value "0" -objects $obj | |
set_property -name "options.hierarchical_depth" -value "" -objects $obj | |
set_property -name "options.more_options" -value "" -objects $obj | |
} | |
# Create 'impl_1_place_report_timing_summary_0' report (if not found) | |
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] "" ] } { | |
create_report_config -report_name impl_1_place_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps place_design -runs impl_1 | |
} | |
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] | |
if { $obj != "" } { | |
set_property -name "is_enabled" -value "0" -objects $obj | |
set_property -name "display_name" -value "Timing Summary - Place Design" -objects $obj | |
set_property -name "options.check_timing_verbose" -value "0" -objects $obj | |
set_property -name "options.delay_type" -value "" -objects $obj | |
set_property -name "options.setup" -value "0" -objects $obj | |
set_property -name "options.hold" -value "0" -objects $obj | |
set_property -name "options.max_paths" -value "10" -objects $obj | |
set_property -name "options.nworst" -value "" -objects $obj | |
set_property -name "options.unique_pins" -value "0" -objects $obj | |
set_property -name "options.path_type" -value "" -objects $obj | |
set_property -name "options.slack_lesser_than" -value "" -objects $obj | |
set_property -name "options.report_unconstrained" -value "0" -objects $obj | |
set_property -name "options.warn_on_violation" -value "0" -objects $obj | |
set_property -name "options.significant_digits" -value "" -objects $obj | |
set_property -name "options.cell" -value "" -objects $obj | |
set_property -name "options.more_options" -value "" -objects $obj | |
} | |
# Create 'impl_1_post_place_power_opt_report_timing_summary_0' report (if not found) | |
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] "" ] } { | |
create_report_config -report_name impl_1_post_place_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_place_power_opt_design -runs impl_1 | |
} | |
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] | |
if { $obj != "" } { | |
set_property -name "is_enabled" -value "0" -objects $obj | |
set_property -name "display_name" -value "Timing Summary - Post-Place Power Opt Design" -objects $obj | |
set_property -name "options.check_timing_verbose" -value "0" -objects $obj | |
set_property -name "options.delay_type" -value "" -objects $obj | |
set_property -name "options.setup" -value "0" -objects $obj | |
set_property -name "options.hold" -value "0" -objects $obj | |
set_property -name "options.max_paths" -value "10" -objects $obj | |
set_property -name "options.nworst" -value "" -objects $obj | |
set_property -name "options.unique_pins" -value "0" -objects $obj | |
set_property -name "options.path_type" -value "" -objects $obj | |
set_property -name "options.slack_lesser_than" -value "" -objects $obj | |
set_property -name "options.report_unconstrained" -value "0" -objects $obj | |
set_property -name "options.warn_on_violation" -value "0" -objects $obj | |
set_property -name "options.significant_digits" -value "" -objects $obj | |
set_property -name "options.cell" -value "" -objects $obj | |
set_property -name "options.more_options" -value "" -objects $obj | |
} | |
# Create 'impl_1_phys_opt_report_timing_summary_0' report (if not found) | |
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] "" ] } { | |
create_report_config -report_name impl_1_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps phys_opt_design -runs impl_1 | |
} | |
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] | |
if { $obj != "" } { | |
set_property -name "is_enabled" -value "0" -objects $obj | |
set_property -name "display_name" -value "Timing Summary - Post-Place Phys Opt Design" -objects $obj | |
set_property -name "options.check_timing_verbose" -value "0" -objects $obj | |
set_property -name "options.delay_type" -value "" -objects $obj | |
set_property -name "options.setup" -value "0" -objects $obj | |
set_property -name "options.hold" -value "0" -objects $obj | |
set_property -name "options.max_paths" -value "10" -objects $obj | |
set_property -name "options.nworst" -value "" -objects $obj | |
set_property -name "options.unique_pins" -value "0" -objects $obj | |
set_property -name "options.path_type" -value "" -objects $obj | |
set_property -name "options.slack_lesser_than" -value "" -objects $obj | |
set_property -name "options.report_unconstrained" -value "0" -objects $obj | |
set_property -name "options.warn_on_violation" -value "0" -objects $obj | |
set_property -name "options.significant_digits" -value "" -objects $obj | |
set_property -name "options.cell" -value "" -objects $obj | |
set_property -name "options.more_options" -value "" -objects $obj | |
} | |
# Create 'impl_1_route_report_drc_0' report (if not found) | |
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] "" ] } { | |
create_report_config -report_name impl_1_route_report_drc_0 -report_type report_drc:1.0 -steps route_design -runs impl_1 | |
} | |
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] | |
if { $obj != "" } { | |
set_property -name "is_enabled" -value "1" -objects $obj | |
set_property -name "display_name" -value "DRC - Route Design" -objects $obj | |
set_property -name "options.upgrade_cw" -value "0" -objects $obj | |
set_property -name "options.checks" -value "" -objects $obj | |
set_property -name "options.ruledecks" -value "" -objects $obj | |
set_property -name "options.more_options" -value "" -objects $obj | |
} | |
# Create 'impl_1_route_report_methodology_0' report (if not found) | |
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] "" ] } { | |
create_report_config -report_name impl_1_route_report_methodology_0 -report_type report_methodology:1.0 -steps route_design -runs impl_1 | |
} | |
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] | |
if { $obj != "" } { | |
set_property -name "is_enabled" -value "1" -objects $obj | |
set_property -name "display_name" -value "Methodology - Route Design" -objects $obj | |
set_property -name "options.checks" -value "" -objects $obj | |
set_property -name "options.more_options" -value "" -objects $obj | |
} | |
# Create 'impl_1_route_report_power_0' report (if not found) | |
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] "" ] } { | |
create_report_config -report_name impl_1_route_report_power_0 -report_type report_power:1.0 -steps route_design -runs impl_1 | |
} | |
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] | |
if { $obj != "" } { | |
set_property -name "is_enabled" -value "1" -objects $obj | |
set_property -name "display_name" -value "Power - Route Design" -objects $obj | |
set_property -name "options.advisory" -value "0" -objects $obj | |
set_property -name "options.xpe" -value "" -objects $obj | |
set_property -name "options.more_options" -value "" -objects $obj | |
} | |
# Create 'impl_1_route_report_route_status_0' report (if not found) | |
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] "" ] } { | |
create_report_config -report_name impl_1_route_report_route_status_0 -report_type report_route_status:1.0 -steps route_design -runs impl_1 | |
} | |
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] | |
if { $obj != "" } { | |
set_property -name "is_enabled" -value "1" -objects $obj | |
set_property -name "display_name" -value "Route Status - Route Design" -objects $obj | |
set_property -name "options.of_objects" -value "" -objects $obj | |
set_property -name "options.route_type" -value "" -objects $obj | |
set_property -name "options.list_all_nets" -value "0" -objects $obj | |
set_property -name "options.show_all" -value "0" -objects $obj | |
set_property -name "options.has_routing" -value "0" -objects $obj | |
set_property -name "options.more_options" -value "" -objects $obj | |
} | |
# Create 'impl_1_route_report_timing_summary_0' report (if not found) | |
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] "" ] } { | |
create_report_config -report_name impl_1_route_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps route_design -runs impl_1 | |
} | |
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] | |
if { $obj != "" } { | |
set_property -name "is_enabled" -value "1" -objects $obj | |
set_property -name "display_name" -value "Timing Summary - Route Design" -objects $obj | |
set_property -name "options.check_timing_verbose" -value "0" -objects $obj | |
set_property -name "options.delay_type" -value "" -objects $obj | |
set_property -name "options.setup" -value "0" -objects $obj | |
set_property -name "options.hold" -value "0" -objects $obj | |
set_property -name "options.max_paths" -value "10" -objects $obj | |
set_property -name "options.nworst" -value "" -objects $obj | |
set_property -name "options.unique_pins" -value "0" -objects $obj | |
set_property -name "options.path_type" -value "" -objects $obj | |
set_property -name "options.slack_lesser_than" -value "" -objects $obj | |
set_property -name "options.report_unconstrained" -value "0" -objects $obj | |
set_property -name "options.warn_on_violation" -value "0" -objects $obj | |
set_property -name "options.significant_digits" -value "" -objects $obj | |
set_property -name "options.cell" -value "" -objects $obj | |
set_property -name "options.more_options" -value "" -objects $obj | |
} | |
# Create 'impl_1_route_report_incremental_reuse_0' report (if not found) | |
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] "" ] } { | |
create_report_config -report_name impl_1_route_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps route_design -runs impl_1 | |
} | |
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] | |
if { $obj != "" } { | |
set_property -name "is_enabled" -value "1" -objects $obj | |
set_property -name "display_name" -value "Incremental Reuse - Route Design" -objects $obj | |
set_property -name "options.cells" -value "" -objects $obj | |
set_property -name "options.hierarchical" -value "0" -objects $obj | |
set_property -name "options.hierarchical_depth" -value "" -objects $obj | |
set_property -name "options.more_options" -value "" -objects $obj | |
} | |
# Create 'impl_1_route_report_clock_utilization_0' report (if not found) | |
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] "" ] } { | |
create_report_config -report_name impl_1_route_report_clock_utilization_0 -report_type report_clock_utilization:1.0 -steps route_design -runs impl_1 | |
} | |
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] | |
if { $obj != "" } { | |
set_property -name "is_enabled" -value "1" -objects $obj | |
set_property -name "display_name" -value "Clock Utilization - Route Design" -objects $obj | |
set_property -name "options.write_xdc" -value "0" -objects $obj | |
set_property -name "options.clock_roots_only" -value "0" -objects $obj | |
set_property -name "options.more_options" -value "" -objects $obj | |
} | |
# Create 'impl_1_route_report_bus_skew_0' report (if not found) | |
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_bus_skew_0] "" ] } { | |
create_report_config -report_name impl_1_route_report_bus_skew_0 -report_type report_bus_skew:1.1 -steps route_design -runs impl_1 | |
} | |
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_bus_skew_0] | |
if { $obj != "" } { | |
set_property -name "is_enabled" -value "1" -objects $obj | |
set_property -name "display_name" -value "Bus Skew - Route Design" -objects $obj | |
set_property -name "options.delay_type" -value "" -objects $obj | |
set_property -name "options.setup" -value "0" -objects $obj | |
set_property -name "options.hold" -value "0" -objects $obj | |
set_property -name "options.max_paths" -value "" -objects $obj | |
set_property -name "options.nworst" -value "" -objects $obj | |
set_property -name "options.unique_pins" -value "0" -objects $obj | |
set_property -name "options.path_type" -value "" -objects $obj | |
set_property -name "options.slack_lesser_than" -value "" -objects $obj | |
set_property -name "options.slack_greater_than" -value "" -objects $obj | |
set_property -name "options.significant_digits" -value "" -objects $obj | |
set_property -name "options.warn_on_violation" -value "1" -objects $obj | |
set_property -name "options.more_options" -value "" -objects $obj | |
} | |
# Create 'impl_1_post_route_phys_opt_report_timing_summary_0' report (if not found) | |
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] "" ] } { | |
create_report_config -report_name impl_1_post_route_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_route_phys_opt_design -runs impl_1 | |
} | |
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] | |
if { $obj != "" } { | |
set_property -name "is_enabled" -value "1" -objects $obj | |
set_property -name "display_name" -value "Timing Summary - Post-Route Phys Opt Design" -objects $obj | |
set_property -name "options.check_timing_verbose" -value "0" -objects $obj | |
set_property -name "options.delay_type" -value "" -objects $obj | |
set_property -name "options.setup" -value "0" -objects $obj | |
set_property -name "options.hold" -value "0" -objects $obj | |
set_property -name "options.max_paths" -value "10" -objects $obj | |
set_property -name "options.nworst" -value "" -objects $obj | |
set_property -name "options.unique_pins" -value "0" -objects $obj | |
set_property -name "options.path_type" -value "" -objects $obj | |
set_property -name "options.slack_lesser_than" -value "" -objects $obj | |
set_property -name "options.report_unconstrained" -value "0" -objects $obj | |
set_property -name "options.warn_on_violation" -value "1" -objects $obj | |
set_property -name "options.significant_digits" -value "" -objects $obj | |
set_property -name "options.cell" -value "" -objects $obj | |
set_property -name "options.more_options" -value "" -objects $obj | |
} | |
# Create 'impl_1_post_route_phys_opt_report_bus_skew_0' report (if not found) | |
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_bus_skew_0] "" ] } { | |
create_report_config -report_name impl_1_post_route_phys_opt_report_bus_skew_0 -report_type report_bus_skew:1.1 -steps post_route_phys_opt_design -runs impl_1 | |
} | |
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_bus_skew_0] | |
if { $obj != "" } { | |
set_property -name "is_enabled" -value "1" -objects $obj | |
set_property -name "display_name" -value "Bus Skew - Post-Route Phys Opt Design" -objects $obj | |
set_property -name "options.delay_type" -value "" -objects $obj | |
set_property -name "options.setup" -value "0" -objects $obj | |
set_property -name "options.hold" -value "0" -objects $obj | |
set_property -name "options.max_paths" -value "" -objects $obj | |
set_property -name "options.nworst" -value "" -objects $obj | |
set_property -name "options.unique_pins" -value "0" -objects $obj | |
set_property -name "options.path_type" -value "" -objects $obj | |
set_property -name "options.slack_lesser_than" -value "" -objects $obj | |
set_property -name "options.slack_greater_than" -value "" -objects $obj | |
set_property -name "options.significant_digits" -value "" -objects $obj | |
set_property -name "options.warn_on_violation" -value "1" -objects $obj | |
set_property -name "options.more_options" -value "" -objects $obj | |
} | |
set obj [get_runs impl_1] | |
set_property -name "constrset" -value "constrs_1" -objects $obj | |
set_property -name "description" -value "Default settings for Implementation." -objects $obj | |
set_property -name "flow" -value "Vivado Implementation 2018" -objects $obj | |
set_property -name "name" -value "impl_1" -objects $obj | |
set_property -name "needs_refresh" -value "0" -objects $obj | |
set_property -name "pr_configuration" -value "" -objects $obj | |
set_property -name "srcset" -value "sources_1" -objects $obj | |
set_property -name "incremental_checkpoint" -value "" -objects $obj | |
set_property -name "auto_incremental_checkpoint" -value "0" -objects $obj | |
set_property -name "incremental_checkpoint.directive" -value "" -objects $obj | |
set_property -name "rqs_files" -value "" -objects $obj | |
set_property -name "ml_strategy_runs" -value "" -objects $obj | |
set_property -name "auto_rqs" -value "0" -objects $obj | |
set_property -name "auto_rqs.directory" -value "$proj_dir/ultra96_design.srcs/utils_1/imports/impl_1" -objects $obj | |
set_property -name "incremental_checkpoint.more_options" -value "" -objects $obj | |
set_property -name "include_in_archive" -value "1" -objects $obj | |
set_property -name "gen_full_bitstream" -value "1" -objects $obj | |
set_property -name "auto_incremental_checkpoint.directory" -value "$proj_dir/ultra96_design.srcs/utils_1/imports/impl_1" -objects $obj | |
set_property -name "min_rqa_score" -value "0" -objects $obj | |
set_property -name "strategy" -value "Vivado Implementation Defaults" -objects $obj | |
set_property -name "steps.init_design.tcl.pre" -value "" -objects $obj | |
set_property -name "steps.init_design.tcl.post" -value "" -objects $obj | |
set_property -name "steps.init_design.args.more options" -value "" -objects $obj | |
set_property -name "steps.opt_design.is_enabled" -value "1" -objects $obj | |
set_property -name "steps.opt_design.tcl.pre" -value "" -objects $obj | |
set_property -name "steps.opt_design.tcl.post" -value "" -objects $obj | |
set_property -name "steps.opt_design.args.verbose" -value "0" -objects $obj | |
set_property -name "steps.opt_design.args.directive" -value "Default" -objects $obj | |
set_property -name "steps.opt_design.args.more options" -value "" -objects $obj | |
set_property -name "steps.power_opt_design.is_enabled" -value "0" -objects $obj | |
set_property -name "steps.power_opt_design.tcl.pre" -value "" -objects $obj | |
set_property -name "steps.power_opt_design.tcl.post" -value "" -objects $obj | |
set_property -name "steps.power_opt_design.args.more options" -value "" -objects $obj | |
set_property -name "steps.place_design.tcl.pre" -value "" -objects $obj | |
set_property -name "steps.place_design.tcl.post" -value "" -objects $obj | |
set_property -name "steps.place_design.args.directive" -value "Default" -objects $obj | |
set_property -name "steps.place_design.args.more options" -value "" -objects $obj | |
set_property -name "steps.post_place_power_opt_design.is_enabled" -value "0" -objects $obj | |
set_property -name "steps.post_place_power_opt_design.tcl.pre" -value "" -objects $obj | |
set_property -name "steps.post_place_power_opt_design.tcl.post" -value "" -objects $obj | |
set_property -name "steps.post_place_power_opt_design.args.more options" -value "" -objects $obj | |
set_property -name "steps.phys_opt_design.is_enabled" -value "0" -objects $obj | |
set_property -name "steps.phys_opt_design.tcl.pre" -value "" -objects $obj | |
set_property -name "steps.phys_opt_design.tcl.post" -value "" -objects $obj | |
set_property -name "steps.phys_opt_design.args.directive" -value "Default" -objects $obj | |
set_property -name "steps.phys_opt_design.args.more options" -value "" -objects $obj | |
set_property -name "steps.route_design.tcl.pre" -value "" -objects $obj | |
set_property -name "steps.route_design.tcl.post" -value "" -objects $obj | |
set_property -name "steps.route_design.args.directive" -value "Default" -objects $obj | |
set_property -name "steps.route_design.args.more options" -value "" -objects $obj | |
set_property -name "steps.post_route_phys_opt_design.is_enabled" -value "0" -objects $obj | |
set_property -name "steps.post_route_phys_opt_design.tcl.pre" -value "" -objects $obj | |
set_property -name "steps.post_route_phys_opt_design.tcl.post" -value "" -objects $obj | |
set_property -name "steps.post_route_phys_opt_design.args.directive" -value "Default" -objects $obj | |
set_property -name "steps.post_route_phys_opt_design.args.more options" -value "" -objects $obj | |
set_property -name "steps.write_bitstream.tcl.pre" -value "" -objects $obj | |
set_property -name "steps.write_bitstream.tcl.post" -value "" -objects $obj | |
set_property -name "steps.write_bitstream.args.raw_bitfile" -value "0" -objects $obj | |
set_property -name "steps.write_bitstream.args.mask_file" -value "0" -objects $obj | |
set_property -name "steps.write_bitstream.args.no_binary_bitfile" -value "0" -objects $obj | |
set_property -name "steps.write_bitstream.args.bin_file" -value "0" -objects $obj | |
set_property -name "steps.write_bitstream.args.readback_file" -value "0" -objects $obj | |
set_property -name "steps.write_bitstream.args.logic_location_file" -value "0" -objects $obj | |
set_property -name "steps.write_bitstream.args.verbose" -value "0" -objects $obj | |
set_property -name "steps.write_bitstream.args.more options" -value "" -objects $obj | |
# set the current impl run | |
current_run -implementation [get_runs impl_1] | |
catch { | |
if { $idrFlowPropertiesConstraints != {} } { | |
set_param runs.disableIDRFlowPropertyConstraints $idrFlowPropertiesConstraints | |
} | |
} | |
puts "INFO: Project created:${_xil_proj_name_}" | |
# Create 'drc_1' gadget (if not found) | |
if {[string equal [get_dashboard_gadgets [ list "drc_1" ] ] ""]} { | |
create_dashboard_gadget -name {drc_1} -type drc | |
} | |
set obj [get_dashboard_gadgets [ list "drc_1" ] ] | |
set_property -name "active_reports" -value "" -objects $obj | |
set_property -name "active_reports_invalid" -value "" -objects $obj | |
set_property -name "active_run" -value "0" -objects $obj | |
set_property -name "hide_unused_data" -value "1" -objects $obj | |
set_property -name "incl_new_reports" -value "0" -objects $obj | |
set_property -name "reports" -value "impl_1#impl_1_route_report_drc_0" -objects $obj | |
set_property -name "run.step" -value "route_design" -objects $obj | |
set_property -name "run.type" -value "implementation" -objects $obj | |
set_property -name "statistics.critical_warning" -value "1" -objects $obj | |
set_property -name "statistics.error" -value "1" -objects $obj | |
set_property -name "statistics.info" -value "1" -objects $obj | |
set_property -name "statistics.warning" -value "1" -objects $obj | |
set_property -name "view.orientation" -value "Horizontal" -objects $obj | |
set_property -name "view.type" -value "Graph" -objects $obj | |
# Create 'methodology_1' gadget (if not found) | |
if {[string equal [get_dashboard_gadgets [ list "methodology_1" ] ] ""]} { | |
create_dashboard_gadget -name {methodology_1} -type methodology | |
} | |
set obj [get_dashboard_gadgets [ list "methodology_1" ] ] | |
set_property -name "active_reports" -value "" -objects $obj | |
set_property -name "active_reports_invalid" -value "" -objects $obj | |
set_property -name "active_run" -value "0" -objects $obj | |
set_property -name "hide_unused_data" -value "1" -objects $obj | |
set_property -name "incl_new_reports" -value "0" -objects $obj | |
set_property -name "reports" -value "impl_1#impl_1_route_report_methodology_0" -objects $obj | |
set_property -name "run.step" -value "route_design" -objects $obj | |
set_property -name "run.type" -value "implementation" -objects $obj | |
set_property -name "statistics.critical_warning" -value "1" -objects $obj | |
set_property -name "statistics.error" -value "1" -objects $obj | |
set_property -name "statistics.info" -value "1" -objects $obj | |
set_property -name "statistics.warning" -value "1" -objects $obj | |
set_property -name "view.orientation" -value "Horizontal" -objects $obj | |
set_property -name "view.type" -value "Graph" -objects $obj | |
# Create 'power_1' gadget (if not found) | |
if {[string equal [get_dashboard_gadgets [ list "power_1" ] ] ""]} { | |
create_dashboard_gadget -name {power_1} -type power | |
} | |
set obj [get_dashboard_gadgets [ list "power_1" ] ] | |
set_property -name "active_reports" -value "" -objects $obj | |
set_property -name "active_reports_invalid" -value "" -objects $obj | |
set_property -name "active_run" -value "0" -objects $obj | |
set_property -name "hide_unused_data" -value "1" -objects $obj | |
set_property -name "incl_new_reports" -value "0" -objects $obj | |
set_property -name "reports" -value "impl_1#impl_1_route_report_power_0" -objects $obj | |
set_property -name "run.step" -value "route_design" -objects $obj | |
set_property -name "run.type" -value "implementation" -objects $obj | |
set_property -name "statistics.bram" -value "1" -objects $obj | |
set_property -name "statistics.clocks" -value "1" -objects $obj | |
set_property -name "statistics.dsp" -value "1" -objects $obj | |
set_property -name "statistics.gth" -value "1" -objects $obj | |
set_property -name "statistics.gtp" -value "1" -objects $obj | |
set_property -name "statistics.gtx" -value "1" -objects $obj | |
set_property -name "statistics.gtz" -value "1" -objects $obj | |
set_property -name "statistics.io" -value "1" -objects $obj | |
set_property -name "statistics.logic" -value "1" -objects $obj | |
set_property -name "statistics.mmcm" -value "1" -objects $obj | |
set_property -name "statistics.pcie" -value "1" -objects $obj | |
set_property -name "statistics.phaser" -value "1" -objects $obj | |
set_property -name "statistics.pll" -value "1" -objects $obj | |
set_property -name "statistics.pl_static" -value "1" -objects $obj | |
set_property -name "statistics.ps7" -value "1" -objects $obj | |
set_property -name "statistics.ps" -value "1" -objects $obj | |
set_property -name "statistics.ps_static" -value "1" -objects $obj | |
set_property -name "statistics.signals" -value "1" -objects $obj | |
set_property -name "statistics.total_power" -value "1" -objects $obj | |
set_property -name "statistics.transceiver" -value "1" -objects $obj | |
set_property -name "statistics.xadc" -value "1" -objects $obj | |
set_property -name "view.orientation" -value "Horizontal" -objects $obj | |
set_property -name "view.type" -value "Graph" -objects $obj | |
# Create 'timing_1' gadget (if not found) | |
if {[string equal [get_dashboard_gadgets [ list "timing_1" ] ] ""]} { | |
create_dashboard_gadget -name {timing_1} -type timing | |
} | |
set obj [get_dashboard_gadgets [ list "timing_1" ] ] | |
set_property -name "active_reports" -value "" -objects $obj | |
set_property -name "active_reports_invalid" -value "" -objects $obj | |
set_property -name "active_run" -value "0" -objects $obj | |
set_property -name "hide_unused_data" -value "1" -objects $obj | |
set_property -name "incl_new_reports" -value "0" -objects $obj | |
set_property -name "reports" -value "impl_1#impl_1_route_report_timing_summary_0" -objects $obj | |
set_property -name "run.step" -value "route_design" -objects $obj | |
set_property -name "run.type" -value "implementation" -objects $obj | |
set_property -name "statistics.ths" -value "1" -objects $obj | |
set_property -name "statistics.tns" -value "1" -objects $obj | |
set_property -name "statistics.tpws" -value "1" -objects $obj | |
set_property -name "statistics.wbss" -value "1" -objects $obj | |
set_property -name "statistics.whs" -value "1" -objects $obj | |
set_property -name "statistics.wns" -value "1" -objects $obj | |
set_property -name "view.orientation" -value "Horizontal" -objects $obj | |
set_property -name "view.type" -value "Table" -objects $obj | |
# Create 'utilization_1' gadget (if not found) | |
if {[string equal [get_dashboard_gadgets [ list "utilization_1" ] ] ""]} { | |
create_dashboard_gadget -name {utilization_1} -type utilization | |
} | |
set obj [get_dashboard_gadgets [ list "utilization_1" ] ] | |
set_property -name "active_reports" -value "" -objects $obj | |
set_property -name "active_reports_invalid" -value "" -objects $obj | |
set_property -name "active_run" -value "0" -objects $obj | |
set_property -name "hide_unused_data" -value "1" -objects $obj | |
set_property -name "incl_new_reports" -value "0" -objects $obj | |
set_property -name "reports" -value "synth_1#synth_1_synth_report_utilization_0" -objects $obj | |
set_property -name "run.step" -value "synth_design" -objects $obj | |
set_property -name "run.type" -value "synthesis" -objects $obj | |
set_property -name "statistics.bram" -value "1" -objects $obj | |
set_property -name "statistics.bufg" -value "1" -objects $obj | |
set_property -name "statistics.dsp" -value "1" -objects $obj | |
set_property -name "statistics.ff" -value "1" -objects $obj | |
set_property -name "statistics.gt" -value "1" -objects $obj | |
set_property -name "statistics.io" -value "1" -objects $obj | |
set_property -name "statistics.lut" -value "1" -objects $obj | |
set_property -name "statistics.lutram" -value "1" -objects $obj | |
set_property -name "statistics.mmcm" -value "1" -objects $obj | |
set_property -name "statistics.pcie" -value "1" -objects $obj | |
set_property -name "statistics.pll" -value "1" -objects $obj | |
set_property -name "statistics.uram" -value "1" -objects $obj | |
set_property -name "view.orientation" -value "Horizontal" -objects $obj | |
set_property -name "view.type" -value "Graph" -objects $obj | |
# Create 'utilization_2' gadget (if not found) | |
if {[string equal [get_dashboard_gadgets [ list "utilization_2" ] ] ""]} { | |
create_dashboard_gadget -name {utilization_2} -type utilization | |
} | |
set obj [get_dashboard_gadgets [ list "utilization_2" ] ] | |
set_property -name "active_reports" -value "" -objects $obj | |
set_property -name "active_reports_invalid" -value "" -objects $obj | |
set_property -name "active_run" -value "0" -objects $obj | |
set_property -name "hide_unused_data" -value "1" -objects $obj | |
set_property -name "incl_new_reports" -value "0" -objects $obj | |
set_property -name "reports" -value "impl_1#impl_1_place_report_utilization_0" -objects $obj | |
set_property -name "run.step" -value "place_design" -objects $obj | |
set_property -name "run.type" -value "implementation" -objects $obj | |
set_property -name "statistics.bram" -value "1" -objects $obj | |
set_property -name "statistics.bufg" -value "1" -objects $obj | |
set_property -name "statistics.dsp" -value "1" -objects $obj | |
set_property -name "statistics.ff" -value "1" -objects $obj | |
set_property -name "statistics.gt" -value "1" -objects $obj | |
set_property -name "statistics.io" -value "1" -objects $obj | |
set_property -name "statistics.lut" -value "1" -objects $obj | |
set_property -name "statistics.lutram" -value "1" -objects $obj | |
set_property -name "statistics.mmcm" -value "1" -objects $obj | |
set_property -name "statistics.pcie" -value "1" -objects $obj | |
set_property -name "statistics.pll" -value "1" -objects $obj | |
set_property -name "statistics.uram" -value "1" -objects $obj | |
set_property -name "view.orientation" -value "Horizontal" -objects $obj | |
set_property -name "view.type" -value "Graph" -objects $obj | |
move_dashboard_gadget -name {utilization_1} -row 0 -col 0 | |
move_dashboard_gadget -name {power_1} -row 1 -col 0 | |
move_dashboard_gadget -name {drc_1} -row 2 -col 0 | |
move_dashboard_gadget -name {timing_1} -row 0 -col 1 | |
move_dashboard_gadget -name {utilization_2} -row 1 -col 1 | |
move_dashboard_gadget -name {methodology_1} -row 2 -col 1 |
Sign up for free
to join this conversation on GitHub.
Already have an account?
Sign in to comment
More information here: https://github.com/xerpi/ultra96v2_mipi_csi_imx219