Created
August 20, 2019 11:42
-
-
Save xobs/086a1e85de3a5022f936f00c750645e0 to your computer and use it in GitHub Desktop.
Error: Got .dsp0_tile statement for ipcon tile 0 7535064.
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
.comment from next-pnr | |
.device 5k | |
.io_tile 1 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 2 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 3 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 4 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 5 0 | |
000000000000000010 | |
000100000000000000 | |
000000000000000000 | |
000000000000000001 | |
000000000000100010 | |
000000000000110000 | |
000000000000000100 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000111000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 6 0 | |
000000000000000010 | |
000100000000000000 | |
000000000000000000 | |
000000000000000001 | |
000000000000010110 | |
000011010000011100 | |
001000000000000100 | |
000000000000000000 | |
000000000000000000 | |
100100000000000000 | |
000000000000000000 | |
000000000000000000 | |
000010000000000100 | |
000000110000000001 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 7 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 8 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 9 0 | |
000000000001100000 | |
000000000000011000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
001000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000110010 | |
000000000000010000 | |
000010000000000100 | |
000011010000000001 | |
000000000000000010 | |
000000000000000000 | |
.io_tile 10 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 11 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 12 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000010000000000000 | |
000001010000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000101000 | |
001100000000000100 | |
000000000010000000 | |
000000000001000000 | |
000000000000000000 | |
000000000000000001 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 13 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 14 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 15 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 16 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 17 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000011000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 18 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000010000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 19 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000101000 | |
000100000000000100 | |
010000000011000000 | |
000000000001000000 | |
000001010000000000 | |
000000001000000001 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 20 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 21 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 22 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 23 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 24 0 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.ipcon_tile 0 1 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000100000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 6 1 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000001010000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000001100000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 13 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 19 1 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 1 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ipcon_tile 25 1 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.ipcon_tile 0 2 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 6 2 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 13 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000100000000000000000000000000000000000000000000000 | |
000001000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 19 2 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 2 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ipcon_tile 25 2 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.ipcon_tile 0 3 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 6 3 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 3 | |
000100000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000100000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 13 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
001000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000001100000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 19 3 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 3 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ipcon_tile 25 3 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.ipcon_tile 0 4 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
.logic_tile 1 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 2 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 3 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 4 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 5 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.ramt_tile 6 4 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
.logic_tile 7 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 8 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 9 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 10 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 11 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 12 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000100000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 13 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 14 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 15 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 16 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 17 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 18 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.ramt_tile 19 4 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
.logic_tile 20 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 21 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 22 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 23 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 24 4 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.ipcon_tile 25 4 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
.dsp0_tile 0 5 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
.logic_tile 1 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 2 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 3 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 4 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 5 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.ramb_tile 6 5 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
.logic_tile 7 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 8 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 9 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 10 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 11 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 12 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 13 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 14 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 15 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 16 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 17 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 18 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.ramb_tile 19 5 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
.logic_tile 20 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 21 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 22 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 23 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 24 5 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.dsp0_tile 25 5 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
.dsp1_tile 0 6 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 6 6 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 13 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000100000000000000000000000000000000000000000000000 | |
000001000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 19 6 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 6 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.dsp1_tile 25 6 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.dsp2_tile 0 7 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 6 7 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 13 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 19 7 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 7 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.dsp2_tile 25 7 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.dsp3_tile 0 8 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 6 8 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000001110000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000100000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 13 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 19 8 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 8 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.dsp3_tile 25 8 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.ipcon_tile 0 9 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 6 9 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 13 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 19 9 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 9 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ipcon_tile 25 9 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.dsp0_tile 0 10 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 6 10 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 13 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000010000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 19 10 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 10 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.dsp0_tile 25 10 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.dsp1_tile 0 11 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 6 11 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 13 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 19 11 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 11 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.dsp1_tile 25 11 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.dsp2_tile 0 12 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 6 12 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000100000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 13 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 19 12 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 12 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.dsp2_tile 25 12 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.dsp3_tile 0 13 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 6 13 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 13 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000011100000000000010110100000000010 | |
000000000000000000000000001101000000101001010000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 19 13 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 13 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.dsp3_tile 25 13 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.ipcon_tile 0 14 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
.logic_tile 1 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 2 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 3 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 4 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 5 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.ramt_tile 6 14 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
.logic_tile 7 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 8 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 9 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 10 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 11 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 12 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 13 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 14 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 15 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 16 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 17 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 18 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.ramt_tile 19 14 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
.logic_tile 20 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 21 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 22 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 23 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 24 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.ipcon_tile 25 14 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.dsp0_tile 0 15 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
.logic_tile 1 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 2 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 3 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 4 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 5 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.ramb_tile 6 15 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
.logic_tile 7 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 8 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 9 15 | |
000100000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 10 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 11 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 12 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 13 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 14 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 15 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 16 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 17 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 18 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.ramb_tile 19 15 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
.logic_tile 20 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 21 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 22 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 23 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 24 15 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.dsp0_tile 25 15 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
.dsp1_tile 0 16 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000010000000000000000000000000000000000000000000000000 | |
000001000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 6 16 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000010000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000101100000010110100010000000 | |
000000000000000000000000000000100000010110100000000000 | |
.logic_tile 8 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 16 | |
000000000000000000000110000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
111000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
110000000000000000000000000000000000000000000000000000 | |
110000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000001111000100000000 | |
000000000000000000000000000000001001001111000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000010000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 13 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 19 16 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 16 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.dsp1_tile 25 16 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.dsp2_tile 0 17 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 17 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 17 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 17 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 17 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 17 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 6 17 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 17 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 17 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 17 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 17 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 17 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
111000000000001000000000000000000000000000000000000000 | |
000000000000000001000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000001101000000000000000000000000000000000000 | |
000000000000000000000000001101100000001100110110000000 | |
000000000000000000000000000001000000110011000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 17 | |
000000000000000000000010100000000001000000001000000000 | |
000000000000000000000000000000001000000000000000001000 | |
111000000000001000000000000000000000000000001000000000 | |
000000000000000101000000000000001001000000000000000000 | |
000000000000000000000110000111001000001100111100000000 | |
000000000000000000000000000000100000110011000000000000 | |
000000000000000001100000000101001000001100111100000000 | |
000000000000000000000000000000100000110011000000000000 | |
000000000000000000000000000111101000001100111100000000 | |
000000000000000000000000000000000000110011000000000000 | |
000000000000000000000000010101101000001100111100000000 | |
000000000000000000000010000000000000110011000000000000 | |
000000000000000000000000010000001001001100111100000000 | |
000000000000000000000010000000001101110011000000000000 | |
000000000000001000000110000111101000001100111100000000 | |
000000000000000001000000000000100000110011000000000000 | |
.logic_tile 13 17 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000010100000000000000000000000000000000000000000000000 | |
000001000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 17 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 17 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 17 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 17 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 17 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 19 17 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 17 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 17 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 17 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 17 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 17 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.dsp2_tile 25 17 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.dsp3_tile 0 18 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 18 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 18 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 18 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 18 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 18 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 6 18 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 18 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 18 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000010000000000000000000000000000000000000000 | |
000000000000100000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 18 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 18 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 18 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000010000000000000 | |
000000000000000000000000000000000000000000000001000001 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 18 | |
000000000000000000000000000111001000001100111100000000 | |
000000000000000000000000000000000000110011000000010000 | |
111000000000000000000110000101001000001100111100000000 | |
000000000000000000000000000000000000110011000000000000 | |
000000000000000001100000000000001000001100111100000000 | |
000000000000000000000000000000001101110011000000000000 | |
000000000000000000000000010111001000001100111100000000 | |
000000000000000000000010000000100000110011000000000000 | |
000000000000000000000000010111101000001100111100000000 | |
000000000000000000000010000000000000110011000000000000 | |
000000000000000001100000000000001001001100111100000000 | |
000000000000000000000000000000001100110011000000000000 | |
000000000000001000000110000101101000001100111100000000 | |
000000000000000001000000000000100000110011000000000000 | |
000000000000001000000000000000001001001100111100000000 | |
000000000000000001000000000000001001110011000000000000 | |
.logic_tile 13 18 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 18 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 18 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 18 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 18 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 18 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 19 18 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 18 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 18 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 18 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 18 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 18 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.dsp3_tile 25 18 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.ipcon_tile 0 19 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 19 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000100000000000000000000000000000000000000000000000000 | |
000100000000000000000000000000000000000000000000000000 | |
.logic_tile 2 19 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 19 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 19 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 19 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 6 19 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 19 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 19 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 19 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 19 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 19 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 19 | |
000000000000000001100000000101001000001100111100000000 | |
000000000000000000000000000000000000110011000000010000 | |
111000000000001000000000010000001000001100111100000000 | |
000000000000000001000010000000001000110011000000000000 | |
000000000000001000000000000000001000001100111100000000 | |
000000000000000001000000000000001101110011000000000000 | |
000000000000000001100110000111001000001100111100000000 | |
000000000000000000000000000000100000110011000000000000 | |
000000000000000000000000010000001001001100111100000000 | |
000000000000000000000010000000001000110011000000000000 | |
000000000000000000000000000101101000001100111100000000 | |
000000000000000000000000000000000000110011000000000000 | |
000000000000000000000110000000001001001100111100000000 | |
000000000000000000000000000000001001110011000000000000 | |
000000000000000000000000000101101000001100110100100000 | |
000000000000000000000000000000100000110011000000000000 | |
.logic_tile 13 19 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 19 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 19 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 19 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 19 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 19 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 19 19 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 19 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 19 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 19 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 19 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 19 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ipcon_tile 25 19 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.ipcon_tile 0 20 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 20 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 20 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 20 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 20 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 20 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000100000000000000000000000000000000000000000000000 | |
000001000000000000000000000000000000000000000000000000 | |
.ramt_tile 6 20 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 20 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 20 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 20 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 20 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 20 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 20 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 13 20 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 20 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 20 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 20 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 20 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 20 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 19 20 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 20 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 20 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 20 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 20 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 20 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ipcon_tile 25 20 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.ipcon_tile 0 21 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 21 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 21 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 21 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 21 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 21 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 6 21 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 21 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 21 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 21 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 21 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 21 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 21 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000001100000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 13 21 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 21 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 21 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 21 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000001000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 21 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 21 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 19 21 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 21 | |
000000000000000000000000000000000000000000000000000000 | |
000010100000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 21 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 21 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 21 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 21 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000001000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ipcon_tile 25 21 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.ipcon_tile 0 22 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000010100000000000000000000000000000110000110000001000 | |
000001000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 22 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 22 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 22 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 22 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000010100000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 22 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 6 22 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 22 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 22 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000010000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 22 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 22 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 22 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 22 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 13 22 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 22 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 22 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 22 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 22 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 22 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 19 22 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 22 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 22 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 22 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 22 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 22 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ipcon_tile 25 22 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.dsp0_tile 0 23 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000001100000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 23 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 23 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 23 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 23 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000001000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 23 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000010000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 6 23 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 23 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 23 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 23 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 23 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 23 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 23 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 13 23 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 23 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 23 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 23 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 23 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000100000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 23 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 19 23 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 23 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 23 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 23 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 23 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 23 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.dsp0_tile 25 23 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.dsp1_tile 0 24 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 24 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 24 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 24 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 24 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 24 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000010000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 6 24 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 24 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 24 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 24 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 24 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 24 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 24 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 13 24 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 24 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 24 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 24 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 24 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 24 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 19 24 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 24 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 24 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 24 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 24 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 24 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.dsp1_tile 25 24 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.dsp2_tile 0 25 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 25 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 25 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 25 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 25 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 25 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 6 25 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 25 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 25 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 25 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000010000100 | |
000000000000000000000000000000000000000000000000000001 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 25 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 25 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 25 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000001000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 13 25 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 25 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 25 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 25 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 25 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 25 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 19 25 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 25 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 25 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 25 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 25 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 25 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000010000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.dsp2_tile 25 25 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.dsp3_tile 0 26 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010001010000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
.logic_tile 1 26 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 2 26 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 3 26 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 4 26 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 5 26 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.ramt_tile 6 26 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
.logic_tile 7 26 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 8 26 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 9 26 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 10 26 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 11 26 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 12 26 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 13 26 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 14 26 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 15 26 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 16 26 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 17 26 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 18 26 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.ramt_tile 19 26 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
.logic_tile 20 26 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 21 26 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 22 26 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 23 26 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 24 26 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.dsp3_tile 25 26 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
.ipcon_tile 0 27 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000001010000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
.logic_tile 1 27 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 2 27 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 3 27 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 4 27 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 5 27 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.ramb_tile 6 27 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
.logic_tile 7 27 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 8 27 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 9 27 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 10 27 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 11 27 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 12 27 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 13 27 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 14 27 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 15 27 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 16 27 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 17 27 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 18 27 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.ramb_tile 19 27 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
000000010000000000000000000000000000000000 | |
.logic_tile 20 27 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 21 27 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 22 27 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 23 27 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.logic_tile 24 27 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
000000010000000000000000000000000000000000000000000000 | |
.ipcon_tile 25 27 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
.ipcon_tile 0 28 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 28 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000010100000000000000000000000000000000000000000000000 | |
000001000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 28 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 28 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 28 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 28 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000001000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 6 28 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 28 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 28 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 28 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 28 | |
000001000000000000000000000000000000000000000000000000 | |
000000100000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 28 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 28 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 13 28 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 28 | |
000000000000000000000000000000000000000000000000000000 | |
000000100000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 28 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 28 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 28 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 28 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000001000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 19 28 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 28 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 28 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 28 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 28 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 28 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ipcon_tile 25 28 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.ipcon_tile 0 29 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000010000000000000000000000000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 29 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000010000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 29 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 29 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 29 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 29 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 6 29 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 29 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 29 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 29 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 29 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 29 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 29 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000001000000000000000000000000000000000000000000 | |
000000000000100000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 13 29 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 29 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 29 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 29 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 29 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 29 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramb_tile 19 29 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 29 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 29 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 29 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 29 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 29 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000001000000000000000000000000000000000000000000000000 | |
000010100000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ipcon_tile 25 29 | |
000000000000000000000111100000000000110000110000001000 | |
000000000000000000000100000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000001000110000110000001000 | |
000000000000000000000000000000010000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.ipcon_tile 0 30 | |
000000000000001111000010000000000000110000110000001000 | |
000000000000001011000000000000000000110000110000000000 | |
000000010000000000000000000001000000110000110000001000 | |
000000010000000000000000000000000000110000110000000000 | |
000000000000000000000010000001000000110000110000001000 | |
000000000000000000000000000000100000110000110000000000 | |
000000000000000000000000000101000000110000110000001000 | |
000000000000000000000000000000100000110000110000000000 | |
000000000000000000000000000001000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.logic_tile 1 30 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 2 30 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 3 30 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 4 30 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 5 30 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 6 30 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 7 30 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 8 30 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 9 30 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 10 30 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 11 30 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 12 30 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 13 30 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 14 30 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 15 30 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 16 30 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 17 30 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 18 30 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ramt_tile 19 30 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000 | |
.logic_tile 20 30 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 21 30 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 22 30 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 23 30 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.logic_tile 24 30 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
000000000000000000000000000000000000000000000000000000 | |
.ipcon_tile 25 30 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
000000000000000000000000000000000000110000110000001000 | |
000000000000000000000000000000000000110000110000000000 | |
.io_tile 1 31 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 2 31 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 3 31 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 4 31 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 5 31 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 6 31 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 7 31 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 8 31 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 9 31 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 10 31 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 11 31 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 12 31 | |
000000000000000010 | |
000100000000000000 | |
000000000000000000 | |
000000000000000001 | |
000000000000110010 | |
000000000000010000 | |
000000000000000100 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000001110000000000 | |
000000001000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 13 31 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 14 31 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 15 31 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 16 31 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 17 31 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 18 31 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000011000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 19 31 | |
000000000000000010 | |
000100000000000000 | |
000000000000000000 | |
000000000000000001 | |
000000000000010010 | |
000000000000110000 | |
000000000000000100 | |
000011010000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 20 31 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 21 31 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 22 31 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 23 31 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.io_tile 24 31 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
000000000000000000 | |
.sym 11 clk | |
.sym 4873 $PACKER_VCC_NET | |
.sym 4890 $PACKER_VCC_NET | |
.sym 5040 enable_red | |
.sym 5099 enable_red | |
.sym 5731 $PACKER_VCC_NET | |
.sym 5939 counter[23] | |
.sym 5954 enable_red | |
.sym 6393 enable_blue | |
.sym 6420 $PACKER_VCC_NET | |
.sym 6421 enable_red | |
.sym 6423 enable_blue | |
.sym 6431 counter[23] | |
.sym 6456 $PACKER_VCC_NET | |
.sym 6459 enable_blue | |
.sym 6462 counter[23] | |
.sym 6465 enable_red | |
.sym 9516 counter[23] | |
.sym 9517 counter[23] | |
.sym 10853 enable_blue | |
.sym 19066 $PACKER_GND_NET | |
.sym 21054 enable_blue | |
.sym 21547 enable_blue | |
.sym 21652 $PACKER_VCC_NET | |
.sym 21774 enable_red | |
.sym 22039 enable_blue | |
.sym 22697 $PACKER_GND_NET | |
.sym 22717 $PACKER_GND_NET | |
.sym 22754 $PACKER_GND_NET | |
.sym 22848 clki | |
.sym 22864 $PACKER_GND_NET | |
.sym 22908 clki | |
.sym 24751 enable_blue | |
.sym 25600 enable_red | |
.sym 26527 clki | |
.sym 26528 $PACKER_GND_NET | |
.sym 26541 $PACKER_GND_NET | |
.sym 26549 clki | |
.sym 28100 enable_blue | |
.sym 30931 user_1_pulled | |
.sym 31423 user_1_pulled | |
.sym 31695 user_1_pulled | |
.sym 31756 user_1_pulled | |
.sym 32159 $PACKER_VCC_NET | |
.sym 33737 $PACKER_GND_NET | |
.sym 33906 $PACKER_GND_NET | |
.sym 34622 user_1_pulled | |
.sym 35858 $PACKER_VCC_NET | |
.sym 36579 $PACKER_GND_NET | |
.sym 36723 $PACKER_GND_NET | |
.sym 37393 $PACKER_GND_NET | |
.sym 37411 $PACKER_GND_NET | |
.sym 37441 $PACKER_GND_NET | |
.sym 37447 $PACKER_GND_NET | |
.sym 37833 $PACKER_GND_NET | |
.sym 37836 $PACKER_GND_NET | |
.sym 39312 $PACKER_GND_NET | |
.sym 39559 counter[0] | |
.sym 40556 $PACKER_GND_NET | |
.sym 43259 counter[0] | |
.sym 43382 $PACKER_VCC_NET | |
.sym 44743 $PACKER_GND_NET | |
.sym 45203 user_1_pulled | |
.sym 45259 user_1_pulled | |
.sym 45757 user_1_pulled | |
.sym 46966 counter[1] | |
.sym 47088 counter[2] | |
.sym 47089 counter[3] | |
.sym 47090 counter[4] | |
.sym 47091 counter[5] | |
.sym 47092 counter[6] | |
.sym 47093 counter[7] | |
.sym 47131 counter[0] | |
.sym 47139 $PACKER_VCC_NET | |
.sym 47184 counter[0] | |
.sym 47185 $PACKER_VCC_NET | |
.sym 47207 clk | |
.sym 47209 counter[8] | |
.sym 47210 counter[9] | |
.sym 47211 counter[10] | |
.sym 47212 counter[11] | |
.sym 47213 counter[12] | |
.sym 47214 counter[13] | |
.sym 47215 counter[14] | |
.sym 47216 counter[15] | |
.sym 47332 counter[16] | |
.sym 47333 counter[17] | |
.sym 47334 counter[18] | |
.sym 47335 counter[19] | |
.sym 47336 counter[20] | |
.sym 47337 counter[21] | |
.sym 47338 counter[22] | |
.sym 47339 counter[23] | |
.sym 47361 $PACKER_VCC_NET | |
.sym 48343 $PACKER_GND_NET | |
.sym 48832 $PACKER_GND_NET | |
.sym 48888 $PACKER_GND_NET | |
.sym 48890 clk | |
.sym 48896 $PACKER_GND_NET | |
.sym 50702 counter[0] | |
.sym 50838 counter[1] | |
.sym 50862 counter[0] | |
.sym 50888 counter[1] | |
.sym 50914 counter[0] | |
.sym 50915 clk | |
.sym 50961 counter[1] | |
.sym 50962 counter[0] | |
.sym 50969 counter[3] | |
.sym 50971 counter[5] | |
.sym 50980 counter[6] | |
.sym 50984 counter[2] | |
.sym 50986 counter[4] | |
.sym 50989 counter[7] | |
.sym 50990 $nextpnr_ICESTORM_LC_0$O | |
.sym 50993 counter[0] | |
.sym 50996 $auto$alumacc.cc:474:replace_alu$17.C[2] | |
.sym 50999 counter[1] | |
.sym 51002 $auto$alumacc.cc:474:replace_alu$17.C[3] | |
.sym 51004 counter[2] | |
.sym 51006 $auto$alumacc.cc:474:replace_alu$17.C[2] | |
.sym 51008 $auto$alumacc.cc:474:replace_alu$17.C[4] | |
.sym 51010 counter[3] | |
.sym 51012 $auto$alumacc.cc:474:replace_alu$17.C[3] | |
.sym 51014 $auto$alumacc.cc:474:replace_alu$17.C[5] | |
.sym 51016 counter[4] | |
.sym 51018 $auto$alumacc.cc:474:replace_alu$17.C[4] | |
.sym 51020 $auto$alumacc.cc:474:replace_alu$17.C[6] | |
.sym 51022 counter[5] | |
.sym 51024 $auto$alumacc.cc:474:replace_alu$17.C[5] | |
.sym 51026 $auto$alumacc.cc:474:replace_alu$17.C[7] | |
.sym 51029 counter[6] | |
.sym 51030 $auto$alumacc.cc:474:replace_alu$17.C[6] | |
.sym 51032 $auto$alumacc.cc:474:replace_alu$17.C[8] | |
.sym 51034 counter[7] | |
.sym 51036 $auto$alumacc.cc:474:replace_alu$17.C[7] | |
.sym 51038 clk | |
.sym 51076 $auto$alumacc.cc:474:replace_alu$17.C[8] | |
.sym 51088 counter[15] | |
.sym 51090 counter[9] | |
.sym 51095 counter[14] | |
.sym 51099 counter[10] | |
.sym 51102 counter[13] | |
.sym 51105 counter[8] | |
.sym 51108 counter[11] | |
.sym 51109 counter[12] | |
.sym 51113 $auto$alumacc.cc:474:replace_alu$17.C[9] | |
.sym 51115 counter[8] | |
.sym 51117 $auto$alumacc.cc:474:replace_alu$17.C[8] | |
.sym 51119 $auto$alumacc.cc:474:replace_alu$17.C[10] | |
.sym 51121 counter[9] | |
.sym 51123 $auto$alumacc.cc:474:replace_alu$17.C[9] | |
.sym 51125 $auto$alumacc.cc:474:replace_alu$17.C[11] | |
.sym 51128 counter[10] | |
.sym 51129 $auto$alumacc.cc:474:replace_alu$17.C[10] | |
.sym 51131 $auto$alumacc.cc:474:replace_alu$17.C[12] | |
.sym 51133 counter[11] | |
.sym 51135 $auto$alumacc.cc:474:replace_alu$17.C[11] | |
.sym 51137 $auto$alumacc.cc:474:replace_alu$17.C[13] | |
.sym 51139 counter[12] | |
.sym 51141 $auto$alumacc.cc:474:replace_alu$17.C[12] | |
.sym 51143 $auto$alumacc.cc:474:replace_alu$17.C[14] | |
.sym 51146 counter[13] | |
.sym 51147 $auto$alumacc.cc:474:replace_alu$17.C[13] | |
.sym 51149 $auto$alumacc.cc:474:replace_alu$17.C[15] | |
.sym 51151 counter[14] | |
.sym 51153 $auto$alumacc.cc:474:replace_alu$17.C[14] | |
.sym 51155 $auto$alumacc.cc:474:replace_alu$17.C[16] | |
.sym 51158 counter[15] | |
.sym 51159 $auto$alumacc.cc:474:replace_alu$17.C[15] | |
.sym 51161 clk | |
.sym 51199 $auto$alumacc.cc:474:replace_alu$17.C[16] | |
.sym 51205 counter[17] | |
.sym 51208 counter[20] | |
.sym 51210 counter[22] | |
.sym 51212 counter[16] | |
.sym 51217 counter[21] | |
.sym 51219 counter[23] | |
.sym 51222 counter[18] | |
.sym 51231 counter[19] | |
.sym 51236 $auto$alumacc.cc:474:replace_alu$17.C[17] | |
.sym 51238 counter[16] | |
.sym 51240 $auto$alumacc.cc:474:replace_alu$17.C[16] | |
.sym 51242 $auto$alumacc.cc:474:replace_alu$17.C[18] | |
.sym 51245 counter[17] | |
.sym 51246 $auto$alumacc.cc:474:replace_alu$17.C[17] | |
.sym 51248 $auto$alumacc.cc:474:replace_alu$17.C[19] | |
.sym 51251 counter[18] | |
.sym 51252 $auto$alumacc.cc:474:replace_alu$17.C[18] | |
.sym 51254 $auto$alumacc.cc:474:replace_alu$17.C[20] | |
.sym 51256 counter[19] | |
.sym 51258 $auto$alumacc.cc:474:replace_alu$17.C[19] | |
.sym 51260 $auto$alumacc.cc:474:replace_alu$17.C[21] | |
.sym 51263 counter[20] | |
.sym 51264 $auto$alumacc.cc:474:replace_alu$17.C[20] | |
.sym 51266 $auto$alumacc.cc:474:replace_alu$17.C[22] | |
.sym 51268 counter[21] | |
.sym 51270 $auto$alumacc.cc:474:replace_alu$17.C[21] | |
.sym 51272 $auto$alumacc.cc:474:replace_alu$17.C[23] | |
.sym 51275 counter[22] | |
.sym 51276 $auto$alumacc.cc:474:replace_alu$17.C[22] | |
.sym 51280 counter[23] | |
.sym 51282 $auto$alumacc.cc:474:replace_alu$17.C[23] | |
.sym 51284 clk | |
.sym 51550 $PACKER_VCC_NET | |
.sym 52684 $PACKER_GND_NET | |
.sym 52706 $PACKER_GND_NET | |
.sym 57000 $PACKER_GND_NET | |
.sym 60066 $PACKER_GND_NET | |
.sym 64387 user_4_pulled | |
.sym 64666 user_4_pulled | |
.sym 65163 user_4_pulled | |
.sym 65655 user_4_pulled | |
.sym 65749 enable_red | |
.sym 66872 $PACKER_VCC_NET | |
.sym 68095 user_4_pulled | |
.sym 68238 $PACKER_GND_NET | |
.sym 69466 enable_red | |
.sym 69630 user_4_pulled | |
.sym 69664 user_4_pulled | |
.sym 71694 $PACKER_GND_NET | |
.sym 71925 $PACKER_GND_NET | |
.sym 72018 user_4_pulled | |
.sym 75695 $PACKER_GND_NET | |
.sym 75703 $PACKER_GND_NET | |
.sym 75705 clk | |
.sym 75720 $PACKER_GND_NET | |
.sym 78868 $PACKER_GND_NET | |
.sym 78883 $PACKER_GND_NET | |
.sym 81559 $PACKER_VCC_NET | |
.sym 93188 $PACKER_VCC_NET | |
.sym 93680 $PACKER_VCC_NET | |
.sym 98303 $PACKER_VCC_NET | |
.sym 103028 $PACKER_VCC_NET | |
.sym 103077 $PACKER_VCC_NET | |
.sym 108710 user_1_pulled | |
.sym 112568 $PACKER_VCC_NET | |
.sym 112569 counter[0] | |
.sym 113494 counter[1] | |
.sym 113512 counter[0] | |
.sym 113517 counter[1] | |
.sym 113521 counter[2] | |
.sym 113522 $auto$alumacc.cc:474:replace_alu$17.C[2] | |
.sym 113525 counter[3] | |
.sym 113526 $auto$alumacc.cc:474:replace_alu$17.C[3] | |
.sym 113529 counter[4] | |
.sym 113530 $auto$alumacc.cc:474:replace_alu$17.C[4] | |
.sym 113533 counter[5] | |
.sym 113534 $auto$alumacc.cc:474:replace_alu$17.C[5] | |
.sym 113537 counter[6] | |
.sym 113538 $auto$alumacc.cc:474:replace_alu$17.C[6] | |
.sym 113541 counter[7] | |
.sym 113542 $auto$alumacc.cc:474:replace_alu$17.C[7] | |
.sym 113545 counter[8] | |
.sym 113546 $auto$alumacc.cc:474:replace_alu$17.C[8] | |
.sym 113549 counter[9] | |
.sym 113550 $auto$alumacc.cc:474:replace_alu$17.C[9] | |
.sym 113553 counter[10] | |
.sym 113554 $auto$alumacc.cc:474:replace_alu$17.C[10] | |
.sym 113557 counter[11] | |
.sym 113558 $auto$alumacc.cc:474:replace_alu$17.C[11] | |
.sym 113561 counter[12] | |
.sym 113562 $auto$alumacc.cc:474:replace_alu$17.C[12] | |
.sym 113565 counter[13] | |
.sym 113566 $auto$alumacc.cc:474:replace_alu$17.C[13] | |
.sym 113569 counter[14] | |
.sym 113570 $auto$alumacc.cc:474:replace_alu$17.C[14] | |
.sym 113573 counter[15] | |
.sym 113574 $auto$alumacc.cc:474:replace_alu$17.C[15] | |
.sym 113577 counter[16] | |
.sym 113578 $auto$alumacc.cc:474:replace_alu$17.C[16] | |
.sym 113581 counter[17] | |
.sym 113582 $auto$alumacc.cc:474:replace_alu$17.C[17] | |
.sym 113585 counter[18] | |
.sym 113586 $auto$alumacc.cc:474:replace_alu$17.C[18] | |
.sym 113589 counter[19] | |
.sym 113590 $auto$alumacc.cc:474:replace_alu$17.C[19] | |
.sym 113593 counter[20] | |
.sym 113594 $auto$alumacc.cc:474:replace_alu$17.C[20] | |
.sym 113597 counter[21] | |
.sym 113598 $auto$alumacc.cc:474:replace_alu$17.C[21] | |
.sym 113601 counter[22] | |
.sym 113602 $auto$alumacc.cc:474:replace_alu$17.C[22] | |
.sym 113605 counter[23] | |
.sym 113606 $auto$alumacc.cc:474:replace_alu$17.C[23] | |
.sym 118194 user_4_pulled |
This file has been truncated, but you can view the full file.
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
{ | |
"creator": "Yosys 78b30bbb1102047585d1a2eac89b1c7f5ca7344e (Fomu build) (git sha1 41d9173, x86_64-w64-mingw32-gcc 5.3.0 -fpermissive -Os)", | |
"modules": { | |
"$__ICE40_FULL_ADDER": { | |
"attributes": { | |
"abc_carry": "CI,CO", | |
"abc_box_id": 1, | |
"whitebox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:145" | |
}, | |
"ports": { | |
"CO": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"O": { | |
"direction": "output", | |
"bits": [ 3 ] | |
}, | |
"A": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"B": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"CI": { | |
"direction": "input", | |
"bits": [ 6 ] | |
} | |
}, | |
"cells": { | |
"adder": { | |
"hide_name": 0, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:152" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ 4 ], | |
"I2": [ 5 ], | |
"I3": [ 6 ], | |
"O": [ 3 ] | |
} | |
}, | |
"carry": { | |
"hide_name": 0, | |
"type": "SB_CARRY", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:146" | |
}, | |
"port_directions": { | |
"CI": "input", | |
"CO": "output", | |
"I0": "input", | |
"I1": "input" | |
}, | |
"connections": { | |
"CI": [ 6 ], | |
"CO": [ 2 ], | |
"I0": [ 4 ], | |
"I1": [ 5 ] | |
} | |
} | |
}, | |
"netnames": { | |
"A": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:145" | |
} | |
}, | |
"B": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:145" | |
} | |
}, | |
"CI": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:145" | |
} | |
}, | |
"CO": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:145" | |
} | |
}, | |
"O": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:145" | |
} | |
} | |
} | |
}, | |
"ICESTORM_LC": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:696" | |
}, | |
"ports": { | |
"I0": { | |
"direction": "input", | |
"bits": [ 2 ] | |
}, | |
"I1": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"I2": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"I3": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"CIN": { | |
"direction": "input", | |
"bits": [ 6 ] | |
}, | |
"CLK": { | |
"direction": "input", | |
"bits": [ 7 ] | |
}, | |
"CEN": { | |
"direction": "input", | |
"bits": [ 8 ] | |
}, | |
"SR": { | |
"direction": "input", | |
"bits": [ 9 ] | |
}, | |
"LO": { | |
"direction": "output", | |
"bits": [ 10 ] | |
}, | |
"O": { | |
"direction": "output", | |
"bits": [ 11 ] | |
}, | |
"COUT": { | |
"direction": "output", | |
"bits": [ 12 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"CEN": { | |
"hide_name": 0, | |
"bits": [ 8 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:697" | |
} | |
}, | |
"CIN": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:697" | |
} | |
}, | |
"CLK": { | |
"hide_name": 0, | |
"bits": [ 7 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:697" | |
} | |
}, | |
"COUT": { | |
"hide_name": 0, | |
"bits": [ 12 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:698" | |
} | |
}, | |
"I0": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:697" | |
} | |
}, | |
"I1": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:697" | |
} | |
}, | |
"I2": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:697" | |
} | |
}, | |
"I3": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:697" | |
} | |
}, | |
"LO": { | |
"hide_name": 0, | |
"bits": [ 10 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:698" | |
} | |
}, | |
"O": { | |
"hide_name": 0, | |
"bits": [ 11 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:698" | |
} | |
}, | |
"SR": { | |
"hide_name": 0, | |
"bits": [ 9 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:697" | |
} | |
} | |
} | |
}, | |
"SB_CARRY": { | |
"attributes": { | |
"whitebox": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:140" | |
}, | |
"ports": { | |
"CO": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"I0": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"I1": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"CI": { | |
"direction": "input", | |
"bits": [ 5 ] | |
} | |
}, | |
"cells": { | |
"$logic_and$C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:141$11": { | |
"hide_name": 1, | |
"type": "$logic_and", | |
"parameters": { | |
"A_SIGNED": 0, | |
"A_WIDTH": 1, | |
"B_SIGNED": 0, | |
"B_WIDTH": 1, | |
"Y_WIDTH": 1 | |
}, | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:141" | |
}, | |
"port_directions": { | |
"A": "input", | |
"B": "input", | |
"Y": "output" | |
}, | |
"connections": { | |
"A": [ 3 ], | |
"B": [ 4 ], | |
"Y": [ 6 ] | |
} | |
}, | |
"$logic_and$C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:141$13": { | |
"hide_name": 1, | |
"type": "$logic_and", | |
"parameters": { | |
"A_SIGNED": 0, | |
"A_WIDTH": 1, | |
"B_SIGNED": 0, | |
"B_WIDTH": 1, | |
"Y_WIDTH": 1 | |
}, | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:141" | |
}, | |
"port_directions": { | |
"A": "input", | |
"B": "input", | |
"Y": "output" | |
}, | |
"connections": { | |
"A": [ 7 ], | |
"B": [ 5 ], | |
"Y": [ 8 ] | |
} | |
}, | |
"$logic_or$C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:141$12": { | |
"hide_name": 1, | |
"type": "$logic_or", | |
"parameters": { | |
"A_SIGNED": 0, | |
"A_WIDTH": 1, | |
"B_SIGNED": 0, | |
"B_WIDTH": 1, | |
"Y_WIDTH": 1 | |
}, | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:141" | |
}, | |
"port_directions": { | |
"A": "input", | |
"B": "input", | |
"Y": "output" | |
}, | |
"connections": { | |
"A": [ 3 ], | |
"B": [ 4 ], | |
"Y": [ 7 ] | |
} | |
}, | |
"$logic_or$C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:141$14": { | |
"hide_name": 1, | |
"type": "$logic_or", | |
"parameters": { | |
"A_SIGNED": 0, | |
"A_WIDTH": 1, | |
"B_SIGNED": 0, | |
"B_WIDTH": 1, | |
"Y_WIDTH": 1 | |
}, | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:141" | |
}, | |
"port_directions": { | |
"A": "input", | |
"B": "input", | |
"Y": "output" | |
}, | |
"connections": { | |
"A": [ 6 ], | |
"B": [ 8 ], | |
"Y": [ 2 ] | |
} | |
} | |
}, | |
"netnames": { | |
"$logic_and$C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:141$11_Y": { | |
"hide_name": 1, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:141" | |
} | |
}, | |
"$logic_and$C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:141$13_Y": { | |
"hide_name": 1, | |
"bits": [ 8 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:141" | |
} | |
}, | |
"$logic_or$C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:141$12_Y": { | |
"hide_name": 1, | |
"bits": [ 7 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:141" | |
} | |
}, | |
"$logic_or$C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:141$14_Y": { | |
"hide_name": 1, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:141" | |
} | |
}, | |
"CI": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:140" | |
} | |
}, | |
"CO": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:140" | |
} | |
}, | |
"I0": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:140" | |
} | |
}, | |
"I1": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:140" | |
} | |
} | |
} | |
}, | |
"SB_DFF": { | |
"attributes": { | |
"blackbox": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:169" | |
}, | |
"ports": { | |
"Q": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"C": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"D": { | |
"direction": "input", | |
"bits": [ 4 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"C": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:169" | |
} | |
}, | |
"D": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:169" | |
} | |
}, | |
"Q": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:169" | |
} | |
} | |
} | |
}, | |
"SB_DFFE": { | |
"attributes": { | |
"blackbox": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:174" | |
}, | |
"ports": { | |
"Q": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"C": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"E": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"D": { | |
"direction": "input", | |
"bits": [ 5 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"C": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:174" | |
} | |
}, | |
"D": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:174" | |
} | |
}, | |
"E": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:174" | |
} | |
}, | |
"Q": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:174" | |
} | |
} | |
} | |
}, | |
"SB_DFFER": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:222" | |
}, | |
"ports": { | |
"Q": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"C": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"E": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"R": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"D": { | |
"direction": "input", | |
"bits": [ 6 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"C": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:222" | |
} | |
}, | |
"D": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:222" | |
} | |
}, | |
"E": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:222" | |
} | |
}, | |
"Q": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:222" | |
} | |
}, | |
"R": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:222" | |
} | |
} | |
} | |
}, | |
"SB_DFFES": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:240" | |
}, | |
"ports": { | |
"Q": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"C": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"E": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"S": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"D": { | |
"direction": "input", | |
"bits": [ 6 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"C": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:240" | |
} | |
}, | |
"D": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:240" | |
} | |
}, | |
"E": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:240" | |
} | |
}, | |
"Q": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:240" | |
} | |
}, | |
"S": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:240" | |
} | |
} | |
} | |
}, | |
"SB_DFFESR": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:212" | |
}, | |
"ports": { | |
"Q": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"C": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"E": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"R": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"D": { | |
"direction": "input", | |
"bits": [ 6 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"C": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:212" | |
} | |
}, | |
"D": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:212" | |
} | |
}, | |
"E": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:212" | |
} | |
}, | |
"Q": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:212" | |
} | |
}, | |
"R": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:212" | |
} | |
} | |
} | |
}, | |
"SB_DFFESS": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:230" | |
}, | |
"ports": { | |
"Q": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"C": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"E": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"S": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"D": { | |
"direction": "input", | |
"bits": [ 6 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"C": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:230" | |
} | |
}, | |
"D": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:230" | |
} | |
}, | |
"E": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:230" | |
} | |
}, | |
"Q": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:230" | |
} | |
}, | |
"S": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:230" | |
} | |
} | |
} | |
}, | |
"SB_DFFN": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:250" | |
}, | |
"ports": { | |
"Q": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"C": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"D": { | |
"direction": "input", | |
"bits": [ 4 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"C": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:250" | |
} | |
}, | |
"D": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:250" | |
} | |
}, | |
"Q": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:250" | |
} | |
} | |
} | |
}, | |
"SB_DFFNE": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:255" | |
}, | |
"ports": { | |
"Q": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"C": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"E": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"D": { | |
"direction": "input", | |
"bits": [ 5 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"C": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:255" | |
} | |
}, | |
"D": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:255" | |
} | |
}, | |
"E": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:255" | |
} | |
}, | |
"Q": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:255" | |
} | |
} | |
} | |
}, | |
"SB_DFFNER": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:303" | |
}, | |
"ports": { | |
"Q": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"C": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"E": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"R": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"D": { | |
"direction": "input", | |
"bits": [ 6 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"C": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:303" | |
} | |
}, | |
"D": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:303" | |
} | |
}, | |
"E": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:303" | |
} | |
}, | |
"Q": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:303" | |
} | |
}, | |
"R": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:303" | |
} | |
} | |
} | |
}, | |
"SB_DFFNES": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:321" | |
}, | |
"ports": { | |
"Q": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"C": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"E": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"S": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"D": { | |
"direction": "input", | |
"bits": [ 6 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"C": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:321" | |
} | |
}, | |
"D": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:321" | |
} | |
}, | |
"E": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:321" | |
} | |
}, | |
"Q": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:321" | |
} | |
}, | |
"S": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:321" | |
} | |
} | |
} | |
}, | |
"SB_DFFNESR": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:293" | |
}, | |
"ports": { | |
"Q": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"C": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"E": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"R": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"D": { | |
"direction": "input", | |
"bits": [ 6 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"C": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:293" | |
} | |
}, | |
"D": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:293" | |
} | |
}, | |
"E": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:293" | |
} | |
}, | |
"Q": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:293" | |
} | |
}, | |
"R": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:293" | |
} | |
} | |
} | |
}, | |
"SB_DFFNESS": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:311" | |
}, | |
"ports": { | |
"Q": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"C": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"E": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"S": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"D": { | |
"direction": "input", | |
"bits": [ 6 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"C": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:311" | |
} | |
}, | |
"D": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:311" | |
} | |
}, | |
"E": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:311" | |
} | |
}, | |
"Q": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:311" | |
} | |
}, | |
"S": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:311" | |
} | |
} | |
} | |
}, | |
"SB_DFFNR": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:269" | |
}, | |
"ports": { | |
"Q": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"C": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"R": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"D": { | |
"direction": "input", | |
"bits": [ 5 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"C": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:269" | |
} | |
}, | |
"D": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:269" | |
} | |
}, | |
"Q": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:269" | |
} | |
}, | |
"R": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:269" | |
} | |
} | |
} | |
}, | |
"SB_DFFNS": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:285" | |
}, | |
"ports": { | |
"Q": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"C": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"S": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"D": { | |
"direction": "input", | |
"bits": [ 5 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"C": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:285" | |
} | |
}, | |
"D": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:285" | |
} | |
}, | |
"Q": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:285" | |
} | |
}, | |
"S": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:285" | |
} | |
} | |
} | |
}, | |
"SB_DFFNSR": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:261" | |
}, | |
"ports": { | |
"Q": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"C": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"R": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"D": { | |
"direction": "input", | |
"bits": [ 5 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"C": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:261" | |
} | |
}, | |
"D": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:261" | |
} | |
}, | |
"Q": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:261" | |
} | |
}, | |
"R": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:261" | |
} | |
} | |
} | |
}, | |
"SB_DFFNSS": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:277" | |
}, | |
"ports": { | |
"Q": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"C": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"S": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"D": { | |
"direction": "input", | |
"bits": [ 5 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"C": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:277" | |
} | |
}, | |
"D": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:277" | |
} | |
}, | |
"Q": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:277" | |
} | |
}, | |
"S": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:277" | |
} | |
} | |
} | |
}, | |
"SB_DFFR": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:188" | |
}, | |
"ports": { | |
"Q": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"C": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"R": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"D": { | |
"direction": "input", | |
"bits": [ 5 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"C": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:188" | |
} | |
}, | |
"D": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:188" | |
} | |
}, | |
"Q": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:188" | |
} | |
}, | |
"R": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:188" | |
} | |
} | |
} | |
}, | |
"SB_DFFS": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:204" | |
}, | |
"ports": { | |
"Q": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"C": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"S": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"D": { | |
"direction": "input", | |
"bits": [ 5 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"C": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:204" | |
} | |
}, | |
"D": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:204" | |
} | |
}, | |
"Q": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:204" | |
} | |
}, | |
"S": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:204" | |
} | |
} | |
} | |
}, | |
"SB_DFFSR": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:180" | |
}, | |
"ports": { | |
"Q": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"C": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"R": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"D": { | |
"direction": "input", | |
"bits": [ 5 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"C": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:180" | |
} | |
}, | |
"D": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:180" | |
} | |
}, | |
"Q": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:180" | |
} | |
}, | |
"R": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:180" | |
} | |
} | |
} | |
}, | |
"SB_DFFSS": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:196" | |
}, | |
"ports": { | |
"Q": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"C": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"S": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"D": { | |
"direction": "input", | |
"bits": [ 5 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"C": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:196" | |
} | |
}, | |
"D": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:196" | |
} | |
}, | |
"Q": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:196" | |
} | |
}, | |
"S": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:196" | |
} | |
} | |
} | |
}, | |
"SB_FILTER_50NS": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1149" | |
}, | |
"ports": { | |
"FILTERIN": { | |
"direction": "input", | |
"bits": [ 2 ] | |
}, | |
"FILTEROUT": { | |
"direction": "output", | |
"bits": [ 3 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"FILTERIN": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1150" | |
} | |
}, | |
"FILTEROUT": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1151" | |
} | |
} | |
} | |
}, | |
"SB_GB": { | |
"attributes": { | |
"blackbox": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:121" | |
}, | |
"ports": { | |
"USER_SIGNAL_TO_GLOBAL_BUFFER": { | |
"direction": "input", | |
"bits": [ 2 ] | |
}, | |
"GLOBAL_BUFFER_OUTPUT": { | |
"direction": "output", | |
"bits": [ 3 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"GLOBAL_BUFFER_OUTPUT": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:123" | |
} | |
}, | |
"USER_SIGNAL_TO_GLOBAL_BUFFER": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:122" | |
} | |
} | |
} | |
}, | |
"SB_GB_IO": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:82" | |
}, | |
"ports": { | |
"PACKAGE_PIN": { | |
"direction": "inout", | |
"bits": [ 2 ] | |
}, | |
"GLOBAL_BUFFER_OUTPUT": { | |
"direction": "output", | |
"bits": [ 3 ] | |
}, | |
"LATCH_INPUT_VALUE": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"CLOCK_ENABLE": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"INPUT_CLK": { | |
"direction": "input", | |
"bits": [ 6 ] | |
}, | |
"OUTPUT_CLK": { | |
"direction": "input", | |
"bits": [ 7 ] | |
}, | |
"OUTPUT_ENABLE": { | |
"direction": "input", | |
"bits": [ 8 ] | |
}, | |
"D_OUT_0": { | |
"direction": "input", | |
"bits": [ 9 ] | |
}, | |
"D_OUT_1": { | |
"direction": "input", | |
"bits": [ 10 ] | |
}, | |
"D_IN_0": { | |
"direction": "output", | |
"bits": [ 11 ] | |
}, | |
"D_IN_1": { | |
"direction": "output", | |
"bits": [ 12 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"CLOCK_ENABLE": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:86" | |
} | |
}, | |
"D_IN_0": { | |
"hide_name": 0, | |
"bits": [ 11 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:92" | |
} | |
}, | |
"D_IN_1": { | |
"hide_name": 0, | |
"bits": [ 12 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:93" | |
} | |
}, | |
"D_OUT_0": { | |
"hide_name": 0, | |
"bits": [ 9 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:90" | |
} | |
}, | |
"D_OUT_1": { | |
"hide_name": 0, | |
"bits": [ 10 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:91" | |
} | |
}, | |
"GLOBAL_BUFFER_OUTPUT": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:84" | |
} | |
}, | |
"INPUT_CLK": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:87" | |
} | |
}, | |
"LATCH_INPUT_VALUE": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:85" | |
} | |
}, | |
"OUTPUT_CLK": { | |
"hide_name": 0, | |
"bits": [ 7 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:88" | |
} | |
}, | |
"OUTPUT_ENABLE": { | |
"hide_name": 0, | |
"bits": [ 8 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:89" | |
} | |
}, | |
"PACKAGE_PIN": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:83" | |
} | |
} | |
} | |
}, | |
"SB_HFOSC": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:957" | |
}, | |
"ports": { | |
"TRIM0": { | |
"direction": "input", | |
"bits": [ 2 ] | |
}, | |
"TRIM1": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"TRIM2": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"TRIM3": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"TRIM4": { | |
"direction": "input", | |
"bits": [ 6 ] | |
}, | |
"TRIM5": { | |
"direction": "input", | |
"bits": [ 7 ] | |
}, | |
"TRIM6": { | |
"direction": "input", | |
"bits": [ 8 ] | |
}, | |
"TRIM7": { | |
"direction": "input", | |
"bits": [ 9 ] | |
}, | |
"TRIM8": { | |
"direction": "input", | |
"bits": [ 10 ] | |
}, | |
"TRIM9": { | |
"direction": "input", | |
"bits": [ 11 ] | |
}, | |
"CLKHFPU": { | |
"direction": "input", | |
"bits": [ 12 ] | |
}, | |
"CLKHFEN": { | |
"direction": "input", | |
"bits": [ 13 ] | |
}, | |
"CLKHF": { | |
"direction": "output", | |
"bits": [ 14 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"CLKHF": { | |
"hide_name": 0, | |
"bits": [ 14 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:970" | |
} | |
}, | |
"CLKHFEN": { | |
"hide_name": 0, | |
"bits": [ 13 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:969" | |
} | |
}, | |
"CLKHFPU": { | |
"hide_name": 0, | |
"bits": [ 12 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:968" | |
} | |
}, | |
"TRIM0": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:958" | |
} | |
}, | |
"TRIM1": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:959" | |
} | |
}, | |
"TRIM2": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:960" | |
} | |
}, | |
"TRIM3": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:961" | |
} | |
}, | |
"TRIM4": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:962" | |
} | |
}, | |
"TRIM5": { | |
"hide_name": 0, | |
"bits": [ 7 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:963" | |
} | |
}, | |
"TRIM6": { | |
"hide_name": 0, | |
"bits": [ 8 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:964" | |
} | |
}, | |
"TRIM7": { | |
"hide_name": 0, | |
"bits": [ 9 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:965" | |
} | |
}, | |
"TRIM8": { | |
"hide_name": 0, | |
"bits": [ 10 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:966" | |
} | |
}, | |
"TRIM9": { | |
"hide_name": 0, | |
"bits": [ 11 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:967" | |
} | |
} | |
} | |
}, | |
"SB_I2C": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1026" | |
}, | |
"ports": { | |
"SBCLKI": { | |
"direction": "input", | |
"bits": [ 2 ] | |
}, | |
"SBRWI": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"SBSTBI": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"SBADRI7": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"SBADRI6": { | |
"direction": "input", | |
"bits": [ 6 ] | |
}, | |
"SBADRI5": { | |
"direction": "input", | |
"bits": [ 7 ] | |
}, | |
"SBADRI4": { | |
"direction": "input", | |
"bits": [ 8 ] | |
}, | |
"SBADRI3": { | |
"direction": "input", | |
"bits": [ 9 ] | |
}, | |
"SBADRI2": { | |
"direction": "input", | |
"bits": [ 10 ] | |
}, | |
"SBADRI1": { | |
"direction": "input", | |
"bits": [ 11 ] | |
}, | |
"SBADRI0": { | |
"direction": "input", | |
"bits": [ 12 ] | |
}, | |
"SBDATI7": { | |
"direction": "input", | |
"bits": [ 13 ] | |
}, | |
"SBDATI6": { | |
"direction": "input", | |
"bits": [ 14 ] | |
}, | |
"SBDATI5": { | |
"direction": "input", | |
"bits": [ 15 ] | |
}, | |
"SBDATI4": { | |
"direction": "input", | |
"bits": [ 16 ] | |
}, | |
"SBDATI3": { | |
"direction": "input", | |
"bits": [ 17 ] | |
}, | |
"SBDATI2": { | |
"direction": "input", | |
"bits": [ 18 ] | |
}, | |
"SBDATI1": { | |
"direction": "input", | |
"bits": [ 19 ] | |
}, | |
"SBDATI0": { | |
"direction": "input", | |
"bits": [ 20 ] | |
}, | |
"SCLI": { | |
"direction": "input", | |
"bits": [ 21 ] | |
}, | |
"SDAI": { | |
"direction": "input", | |
"bits": [ 22 ] | |
}, | |
"SBDATO7": { | |
"direction": "output", | |
"bits": [ 23 ] | |
}, | |
"SBDATO6": { | |
"direction": "output", | |
"bits": [ 24 ] | |
}, | |
"SBDATO5": { | |
"direction": "output", | |
"bits": [ 25 ] | |
}, | |
"SBDATO4": { | |
"direction": "output", | |
"bits": [ 26 ] | |
}, | |
"SBDATO3": { | |
"direction": "output", | |
"bits": [ 27 ] | |
}, | |
"SBDATO2": { | |
"direction": "output", | |
"bits": [ 28 ] | |
}, | |
"SBDATO1": { | |
"direction": "output", | |
"bits": [ 29 ] | |
}, | |
"SBDATO0": { | |
"direction": "output", | |
"bits": [ 30 ] | |
}, | |
"SBACKO": { | |
"direction": "output", | |
"bits": [ 31 ] | |
}, | |
"I2CIRQ": { | |
"direction": "output", | |
"bits": [ 32 ] | |
}, | |
"I2CWKUP": { | |
"direction": "output", | |
"bits": [ 33 ] | |
}, | |
"SCLO": { | |
"direction": "output", | |
"bits": [ 34 ] | |
}, | |
"SCLOE": { | |
"direction": "output", | |
"bits": [ 35 ] | |
}, | |
"SDAO": { | |
"direction": "output", | |
"bits": [ 36 ] | |
}, | |
"SDAOE": { | |
"direction": "output", | |
"bits": [ 37 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"I2CIRQ": { | |
"hide_name": 0, | |
"bits": [ 32 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1057" | |
} | |
}, | |
"I2CWKUP": { | |
"hide_name": 0, | |
"bits": [ 33 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1058" | |
} | |
}, | |
"SBACKO": { | |
"hide_name": 0, | |
"bits": [ 31 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1056" | |
} | |
}, | |
"SBADRI0": { | |
"hide_name": 0, | |
"bits": [ 12 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1037" | |
} | |
}, | |
"SBADRI1": { | |
"hide_name": 0, | |
"bits": [ 11 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1036" | |
} | |
}, | |
"SBADRI2": { | |
"hide_name": 0, | |
"bits": [ 10 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1035" | |
} | |
}, | |
"SBADRI3": { | |
"hide_name": 0, | |
"bits": [ 9 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1034" | |
} | |
}, | |
"SBADRI4": { | |
"hide_name": 0, | |
"bits": [ 8 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1033" | |
} | |
}, | |
"SBADRI5": { | |
"hide_name": 0, | |
"bits": [ 7 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1032" | |
} | |
}, | |
"SBADRI6": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1031" | |
} | |
}, | |
"SBADRI7": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1030" | |
} | |
}, | |
"SBCLKI": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1027" | |
} | |
}, | |
"SBDATI0": { | |
"hide_name": 0, | |
"bits": [ 20 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1045" | |
} | |
}, | |
"SBDATI1": { | |
"hide_name": 0, | |
"bits": [ 19 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1044" | |
} | |
}, | |
"SBDATI2": { | |
"hide_name": 0, | |
"bits": [ 18 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1043" | |
} | |
}, | |
"SBDATI3": { | |
"hide_name": 0, | |
"bits": [ 17 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1042" | |
} | |
}, | |
"SBDATI4": { | |
"hide_name": 0, | |
"bits": [ 16 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1041" | |
} | |
}, | |
"SBDATI5": { | |
"hide_name": 0, | |
"bits": [ 15 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1040" | |
} | |
}, | |
"SBDATI6": { | |
"hide_name": 0, | |
"bits": [ 14 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1039" | |
} | |
}, | |
"SBDATI7": { | |
"hide_name": 0, | |
"bits": [ 13 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1038" | |
} | |
}, | |
"SBDATO0": { | |
"hide_name": 0, | |
"bits": [ 30 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1055" | |
} | |
}, | |
"SBDATO1": { | |
"hide_name": 0, | |
"bits": [ 29 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1054" | |
} | |
}, | |
"SBDATO2": { | |
"hide_name": 0, | |
"bits": [ 28 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1053" | |
} | |
}, | |
"SBDATO3": { | |
"hide_name": 0, | |
"bits": [ 27 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1052" | |
} | |
}, | |
"SBDATO4": { | |
"hide_name": 0, | |
"bits": [ 26 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1051" | |
} | |
}, | |
"SBDATO5": { | |
"hide_name": 0, | |
"bits": [ 25 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1050" | |
} | |
}, | |
"SBDATO6": { | |
"hide_name": 0, | |
"bits": [ 24 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1049" | |
} | |
}, | |
"SBDATO7": { | |
"hide_name": 0, | |
"bits": [ 23 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1048" | |
} | |
}, | |
"SBRWI": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1028" | |
} | |
}, | |
"SBSTBI": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1029" | |
} | |
}, | |
"SCLI": { | |
"hide_name": 0, | |
"bits": [ 21 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1046" | |
} | |
}, | |
"SCLO": { | |
"hide_name": 0, | |
"bits": [ 34 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1059" | |
} | |
}, | |
"SCLOE": { | |
"hide_name": 0, | |
"bits": [ 35 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1060" | |
} | |
}, | |
"SDAI": { | |
"hide_name": 0, | |
"bits": [ 22 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1047" | |
} | |
}, | |
"SDAO": { | |
"hide_name": 0, | |
"bits": [ 36 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1061" | |
} | |
}, | |
"SDAOE": { | |
"hide_name": 0, | |
"bits": [ 37 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1062" | |
} | |
} | |
} | |
}, | |
"SB_IO": { | |
"attributes": { | |
"blackbox": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:7" | |
}, | |
"ports": { | |
"PACKAGE_PIN": { | |
"direction": "inout", | |
"bits": [ 2 ] | |
}, | |
"LATCH_INPUT_VALUE": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"CLOCK_ENABLE": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"INPUT_CLK": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"OUTPUT_CLK": { | |
"direction": "input", | |
"bits": [ 6 ] | |
}, | |
"OUTPUT_ENABLE": { | |
"direction": "input", | |
"bits": [ 7 ] | |
}, | |
"D_OUT_0": { | |
"direction": "input", | |
"bits": [ 8 ] | |
}, | |
"D_OUT_1": { | |
"direction": "input", | |
"bits": [ 9 ] | |
}, | |
"D_IN_0": { | |
"direction": "output", | |
"bits": [ 10 ] | |
}, | |
"D_IN_1": { | |
"direction": "output", | |
"bits": [ 11 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"CLOCK_ENABLE": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:10" | |
} | |
}, | |
"D_IN_0": { | |
"hide_name": 0, | |
"bits": [ 10 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:16" | |
} | |
}, | |
"D_IN_1": { | |
"hide_name": 0, | |
"bits": [ 11 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:17" | |
} | |
}, | |
"D_OUT_0": { | |
"hide_name": 0, | |
"bits": [ 8 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:14" | |
} | |
}, | |
"D_OUT_1": { | |
"hide_name": 0, | |
"bits": [ 9 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:15" | |
} | |
}, | |
"INPUT_CLK": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:11" | |
} | |
}, | |
"LATCH_INPUT_VALUE": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:9" | |
} | |
}, | |
"OUTPUT_CLK": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:12" | |
} | |
}, | |
"OUTPUT_ENABLE": { | |
"hide_name": 0, | |
"bits": [ 7 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:13" | |
} | |
}, | |
"PACKAGE_PIN": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:8" | |
} | |
} | |
} | |
}, | |
"SB_IO_I3C": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1155" | |
}, | |
"ports": { | |
"PACKAGE_PIN": { | |
"direction": "inout", | |
"bits": [ 2 ] | |
}, | |
"LATCH_INPUT_VALUE": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"CLOCK_ENABLE": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"INPUT_CLK": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"OUTPUT_CLK": { | |
"direction": "input", | |
"bits": [ 6 ] | |
}, | |
"OUTPUT_ENABLE": { | |
"direction": "input", | |
"bits": [ 7 ] | |
}, | |
"D_OUT_0": { | |
"direction": "input", | |
"bits": [ 8 ] | |
}, | |
"D_OUT_1": { | |
"direction": "input", | |
"bits": [ 9 ] | |
}, | |
"D_IN_0": { | |
"direction": "output", | |
"bits": [ 10 ] | |
}, | |
"D_IN_1": { | |
"direction": "output", | |
"bits": [ 11 ] | |
}, | |
"PU_ENB": { | |
"direction": "input", | |
"bits": [ 12 ] | |
}, | |
"WEAK_PU_ENB": { | |
"direction": "input", | |
"bits": [ 13 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"CLOCK_ENABLE": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1158" | |
} | |
}, | |
"D_IN_0": { | |
"hide_name": 0, | |
"bits": [ 10 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1164" | |
} | |
}, | |
"D_IN_1": { | |
"hide_name": 0, | |
"bits": [ 11 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1165" | |
} | |
}, | |
"D_OUT_0": { | |
"hide_name": 0, | |
"bits": [ 8 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1162" | |
} | |
}, | |
"D_OUT_1": { | |
"hide_name": 0, | |
"bits": [ 9 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1163" | |
} | |
}, | |
"INPUT_CLK": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1159" | |
} | |
}, | |
"LATCH_INPUT_VALUE": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1157" | |
} | |
}, | |
"OUTPUT_CLK": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1160" | |
} | |
}, | |
"OUTPUT_ENABLE": { | |
"hide_name": 0, | |
"bits": [ 7 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1161" | |
} | |
}, | |
"PACKAGE_PIN": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1156" | |
} | |
}, | |
"PU_ENB": { | |
"hide_name": 0, | |
"bits": [ 12 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1166" | |
} | |
}, | |
"WEAK_PU_ENB": { | |
"hide_name": 0, | |
"bits": [ 13 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1167" | |
} | |
} | |
} | |
}, | |
"SB_IO_OD": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1224" | |
}, | |
"ports": { | |
"PACKAGEPIN": { | |
"direction": "inout", | |
"bits": [ 2 ] | |
}, | |
"LATCHINPUTVALUE": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"CLOCKENABLE": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"INPUTCLK": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"OUTPUTCLK": { | |
"direction": "input", | |
"bits": [ 6 ] | |
}, | |
"OUTPUTENABLE": { | |
"direction": "input", | |
"bits": [ 7 ] | |
}, | |
"DOUT1": { | |
"direction": "input", | |
"bits": [ 8 ] | |
}, | |
"DOUT0": { | |
"direction": "input", | |
"bits": [ 9 ] | |
}, | |
"DIN1": { | |
"direction": "output", | |
"bits": [ 10 ] | |
}, | |
"DIN0": { | |
"direction": "output", | |
"bits": [ 11 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"CLOCKENABLE": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1227" | |
} | |
}, | |
"DIN0": { | |
"hide_name": 0, | |
"bits": [ 11 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1234" | |
} | |
}, | |
"DIN1": { | |
"hide_name": 0, | |
"bits": [ 10 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1233" | |
} | |
}, | |
"DOUT0": { | |
"hide_name": 0, | |
"bits": [ 9 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1232" | |
} | |
}, | |
"DOUT1": { | |
"hide_name": 0, | |
"bits": [ 8 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1231" | |
} | |
}, | |
"INPUTCLK": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1228" | |
} | |
}, | |
"LATCHINPUTVALUE": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1226" | |
} | |
}, | |
"OUTPUTCLK": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1229" | |
} | |
}, | |
"OUTPUTENABLE": { | |
"hide_name": 0, | |
"bits": [ 7 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1230" | |
} | |
}, | |
"PACKAGEPIN": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1225" | |
} | |
} | |
} | |
}, | |
"SB_LEDDA_IP": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1123" | |
}, | |
"ports": { | |
"LEDDCS": { | |
"direction": "input", | |
"bits": [ 2 ] | |
}, | |
"LEDDCLK": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"LEDDDAT7": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"LEDDDAT6": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"LEDDDAT5": { | |
"direction": "input", | |
"bits": [ 6 ] | |
}, | |
"LEDDDAT4": { | |
"direction": "input", | |
"bits": [ 7 ] | |
}, | |
"LEDDDAT3": { | |
"direction": "input", | |
"bits": [ 8 ] | |
}, | |
"LEDDDAT2": { | |
"direction": "input", | |
"bits": [ 9 ] | |
}, | |
"LEDDDAT1": { | |
"direction": "input", | |
"bits": [ 10 ] | |
}, | |
"LEDDDAT0": { | |
"direction": "input", | |
"bits": [ 11 ] | |
}, | |
"LEDDADDR3": { | |
"direction": "input", | |
"bits": [ 12 ] | |
}, | |
"LEDDADDR2": { | |
"direction": "input", | |
"bits": [ 13 ] | |
}, | |
"LEDDADDR1": { | |
"direction": "input", | |
"bits": [ 14 ] | |
}, | |
"LEDDADDR0": { | |
"direction": "input", | |
"bits": [ 15 ] | |
}, | |
"LEDDDEN": { | |
"direction": "input", | |
"bits": [ 16 ] | |
}, | |
"LEDDEXE": { | |
"direction": "input", | |
"bits": [ 17 ] | |
}, | |
"LEDDRST": { | |
"direction": "input", | |
"bits": [ 18 ] | |
}, | |
"PWMOUT0": { | |
"direction": "output", | |
"bits": [ 19 ] | |
}, | |
"PWMOUT1": { | |
"direction": "output", | |
"bits": [ 20 ] | |
}, | |
"PWMOUT2": { | |
"direction": "output", | |
"bits": [ 21 ] | |
}, | |
"LEDDON": { | |
"direction": "output", | |
"bits": [ 22 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"LEDDADDR0": { | |
"hide_name": 0, | |
"bits": [ 15 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1137" | |
} | |
}, | |
"LEDDADDR1": { | |
"hide_name": 0, | |
"bits": [ 14 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1136" | |
} | |
}, | |
"LEDDADDR2": { | |
"hide_name": 0, | |
"bits": [ 13 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1135" | |
} | |
}, | |
"LEDDADDR3": { | |
"hide_name": 0, | |
"bits": [ 12 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1134" | |
} | |
}, | |
"LEDDCLK": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1125" | |
} | |
}, | |
"LEDDCS": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1124" | |
} | |
}, | |
"LEDDDAT0": { | |
"hide_name": 0, | |
"bits": [ 11 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1133" | |
} | |
}, | |
"LEDDDAT1": { | |
"hide_name": 0, | |
"bits": [ 10 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1132" | |
} | |
}, | |
"LEDDDAT2": { | |
"hide_name": 0, | |
"bits": [ 9 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1131" | |
} | |
}, | |
"LEDDDAT3": { | |
"hide_name": 0, | |
"bits": [ 8 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1130" | |
} | |
}, | |
"LEDDDAT4": { | |
"hide_name": 0, | |
"bits": [ 7 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1129" | |
} | |
}, | |
"LEDDDAT5": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1128" | |
} | |
}, | |
"LEDDDAT6": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1127" | |
} | |
}, | |
"LEDDDAT7": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1126" | |
} | |
}, | |
"LEDDDEN": { | |
"hide_name": 0, | |
"bits": [ 16 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1138" | |
} | |
}, | |
"LEDDEXE": { | |
"hide_name": 0, | |
"bits": [ 17 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1139" | |
} | |
}, | |
"LEDDON": { | |
"hide_name": 0, | |
"bits": [ 22 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1144" | |
} | |
}, | |
"LEDDRST": { | |
"hide_name": 0, | |
"bits": [ 18 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1140" | |
} | |
}, | |
"PWMOUT0": { | |
"hide_name": 0, | |
"bits": [ 19 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1141" | |
} | |
}, | |
"PWMOUT1": { | |
"hide_name": 0, | |
"bits": [ 20 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1142" | |
} | |
}, | |
"PWMOUT2": { | |
"hide_name": 0, | |
"bits": [ 21 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1143" | |
} | |
} | |
} | |
}, | |
"SB_LED_DRV_CUR": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1002" | |
}, | |
"ports": { | |
"EN": { | |
"direction": "input", | |
"bits": [ 2 ] | |
}, | |
"LEDPU": { | |
"direction": "output", | |
"bits": [ 3 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"EN": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1003" | |
} | |
}, | |
"LEDPU": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1004" | |
} | |
} | |
} | |
}, | |
"SB_LFOSC": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:977" | |
}, | |
"ports": { | |
"CLKLFPU": { | |
"direction": "input", | |
"bits": [ 2 ] | |
}, | |
"CLKLFEN": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"CLKLF": { | |
"direction": "output", | |
"bits": [ 4 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"CLKLF": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:980" | |
} | |
}, | |
"CLKLFEN": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:979" | |
} | |
}, | |
"CLKLFPU": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:978" | |
} | |
} | |
} | |
}, | |
"SB_LUT4": { | |
"attributes": { | |
"whitebox": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:131" | |
}, | |
"ports": { | |
"O": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"I0": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"I1": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"I2": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"I3": { | |
"direction": "input", | |
"bits": [ 6 ] | |
} | |
}, | |
"cells": { | |
"$ternary$C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:133$7": { | |
"hide_name": 1, | |
"type": "$mux", | |
"parameters": { | |
"WIDTH": 8 | |
}, | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:133" | |
}, | |
"port_directions": { | |
"A": "input", | |
"B": "input", | |
"S": "input", | |
"Y": "output" | |
}, | |
"connections": { | |
"A": [ "0", "0", "0", "0", "0", "0", "0", "0" ], | |
"B": [ "0", "0", "0", "0", "0", "0", "0", "0" ], | |
"S": [ 6 ], | |
"Y": [ 7, 8, 9, 10, 11, 12, 13, 14 ] | |
} | |
}, | |
"$ternary$C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:134$8": { | |
"hide_name": 1, | |
"type": "$mux", | |
"parameters": { | |
"WIDTH": 4 | |
}, | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:134" | |
}, | |
"port_directions": { | |
"A": "input", | |
"B": "input", | |
"S": "input", | |
"Y": "output" | |
}, | |
"connections": { | |
"A": [ 7, 8, 9, 10 ], | |
"B": [ 11, 12, 13, 14 ], | |
"S": [ 5 ], | |
"Y": [ 15, 16, 17, 18 ] | |
} | |
}, | |
"$ternary$C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:135$9": { | |
"hide_name": 1, | |
"type": "$mux", | |
"parameters": { | |
"WIDTH": 2 | |
}, | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:135" | |
}, | |
"port_directions": { | |
"A": "input", | |
"B": "input", | |
"S": "input", | |
"Y": "output" | |
}, | |
"connections": { | |
"A": [ 15, 16 ], | |
"B": [ 17, 18 ], | |
"S": [ 4 ], | |
"Y": [ 19, 20 ] | |
} | |
}, | |
"$ternary$C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:136$10": { | |
"hide_name": 1, | |
"type": "$mux", | |
"parameters": { | |
"WIDTH": 1 | |
}, | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:136" | |
}, | |
"port_directions": { | |
"A": "input", | |
"B": "input", | |
"S": "input", | |
"Y": "output" | |
}, | |
"connections": { | |
"A": [ 19 ], | |
"B": [ 20 ], | |
"S": [ 3 ], | |
"Y": [ 2 ] | |
} | |
} | |
}, | |
"netnames": { | |
"$ternary$C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:133$7_Y": { | |
"hide_name": 1, | |
"bits": [ 7, 8, 9, 10, 11, 12, 13, 14 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:133" | |
} | |
}, | |
"$ternary$C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:134$8_Y": { | |
"hide_name": 1, | |
"bits": [ 15, 16, 17, 18 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:134" | |
} | |
}, | |
"$ternary$C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:135$9_Y": { | |
"hide_name": 1, | |
"bits": [ 19, 20 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:135" | |
} | |
}, | |
"$ternary$C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:136$10_Y": { | |
"hide_name": 1, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:136" | |
} | |
}, | |
"I0": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:131" | |
} | |
}, | |
"I1": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:131" | |
} | |
}, | |
"I2": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:131" | |
} | |
}, | |
"I3": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:131" | |
} | |
}, | |
"O": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:131" | |
} | |
}, | |
"s1": { | |
"hide_name": 0, | |
"bits": [ 19, 20 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:135" | |
} | |
}, | |
"s2": { | |
"hide_name": 0, | |
"bits": [ 15, 16, 17, 18 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:134" | |
} | |
}, | |
"s3": { | |
"hide_name": 0, | |
"bits": [ 7, 8, 9, 10, 11, 12, 13, 14 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:133" | |
} | |
} | |
} | |
}, | |
"SB_MAC16": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1288" | |
}, | |
"ports": { | |
"CLK": { | |
"direction": "input", | |
"bits": [ 2 ] | |
}, | |
"CE": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"C": { | |
"direction": "input", | |
"bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] | |
}, | |
"A": { | |
"direction": "input", | |
"bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ] | |
}, | |
"B": { | |
"direction": "input", | |
"bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ] | |
}, | |
"D": { | |
"direction": "input", | |
"bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ] | |
}, | |
"AHOLD": { | |
"direction": "input", | |
"bits": [ 68 ] | |
}, | |
"BHOLD": { | |
"direction": "input", | |
"bits": [ 69 ] | |
}, | |
"CHOLD": { | |
"direction": "input", | |
"bits": [ 70 ] | |
}, | |
"DHOLD": { | |
"direction": "input", | |
"bits": [ 71 ] | |
}, | |
"IRSTTOP": { | |
"direction": "input", | |
"bits": [ 72 ] | |
}, | |
"IRSTBOT": { | |
"direction": "input", | |
"bits": [ 73 ] | |
}, | |
"ORSTTOP": { | |
"direction": "input", | |
"bits": [ 74 ] | |
}, | |
"ORSTBOT": { | |
"direction": "input", | |
"bits": [ 75 ] | |
}, | |
"OLOADTOP": { | |
"direction": "input", | |
"bits": [ 76 ] | |
}, | |
"OLOADBOT": { | |
"direction": "input", | |
"bits": [ 77 ] | |
}, | |
"ADDSUBTOP": { | |
"direction": "input", | |
"bits": [ 78 ] | |
}, | |
"ADDSUBBOT": { | |
"direction": "input", | |
"bits": [ 79 ] | |
}, | |
"OHOLDTOP": { | |
"direction": "input", | |
"bits": [ 80 ] | |
}, | |
"OHOLDBOT": { | |
"direction": "input", | |
"bits": [ 81 ] | |
}, | |
"CI": { | |
"direction": "input", | |
"bits": [ 82 ] | |
}, | |
"ACCUMCI": { | |
"direction": "input", | |
"bits": [ 83 ] | |
}, | |
"SIGNEXTIN": { | |
"direction": "input", | |
"bits": [ 84 ] | |
}, | |
"O": { | |
"direction": "output", | |
"bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ] | |
}, | |
"CO": { | |
"direction": "output", | |
"bits": [ 117 ] | |
}, | |
"ACCUMCO": { | |
"direction": "output", | |
"bits": [ 118 ] | |
}, | |
"SIGNEXTOUT": { | |
"direction": "output", | |
"bits": [ 119 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"A": { | |
"hide_name": 0, | |
"bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1290" | |
} | |
}, | |
"ACCUMCI": { | |
"hide_name": 0, | |
"bits": [ 83 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1297" | |
} | |
}, | |
"ACCUMCO": { | |
"hide_name": 0, | |
"bits": [ 118 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1299" | |
} | |
}, | |
"ADDSUBBOT": { | |
"hide_name": 0, | |
"bits": [ 79 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1295" | |
} | |
}, | |
"ADDSUBTOP": { | |
"hide_name": 0, | |
"bits": [ 78 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1295" | |
} | |
}, | |
"AHOLD": { | |
"hide_name": 0, | |
"bits": [ 68 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1291" | |
} | |
}, | |
"B": { | |
"hide_name": 0, | |
"bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1290" | |
} | |
}, | |
"BHOLD": { | |
"hide_name": 0, | |
"bits": [ 69 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1291" | |
} | |
}, | |
"C": { | |
"hide_name": 0, | |
"bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1290" | |
} | |
}, | |
"CE": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1289" | |
} | |
}, | |
"CHOLD": { | |
"hide_name": 0, | |
"bits": [ 70 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1291" | |
} | |
}, | |
"CI": { | |
"hide_name": 0, | |
"bits": [ 82 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1297" | |
} | |
}, | |
"CLK": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1289" | |
} | |
}, | |
"CO": { | |
"hide_name": 0, | |
"bits": [ 117 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1299" | |
} | |
}, | |
"D": { | |
"hide_name": 0, | |
"bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1290" | |
} | |
}, | |
"DHOLD": { | |
"hide_name": 0, | |
"bits": [ 71 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1291" | |
} | |
}, | |
"IRSTBOT": { | |
"hide_name": 0, | |
"bits": [ 73 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1292" | |
} | |
}, | |
"IRSTTOP": { | |
"hide_name": 0, | |
"bits": [ 72 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1292" | |
} | |
}, | |
"O": { | |
"hide_name": 0, | |
"bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1298" | |
} | |
}, | |
"OHOLDBOT": { | |
"hide_name": 0, | |
"bits": [ 81 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1296" | |
} | |
}, | |
"OHOLDTOP": { | |
"hide_name": 0, | |
"bits": [ 80 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1296" | |
} | |
}, | |
"OLOADBOT": { | |
"hide_name": 0, | |
"bits": [ 77 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1294" | |
} | |
}, | |
"OLOADTOP": { | |
"hide_name": 0, | |
"bits": [ 76 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1294" | |
} | |
}, | |
"ORSTBOT": { | |
"hide_name": 0, | |
"bits": [ 75 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1293" | |
} | |
}, | |
"ORSTTOP": { | |
"hide_name": 0, | |
"bits": [ 74 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1293" | |
} | |
}, | |
"SIGNEXTIN": { | |
"hide_name": 0, | |
"bits": [ 84 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1297" | |
} | |
}, | |
"SIGNEXTOUT": { | |
"hide_name": 0, | |
"bits": [ 119 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1299" | |
} | |
} | |
} | |
}, | |
"SB_PLL40_2F_CORE": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:839" | |
}, | |
"ports": { | |
"REFERENCECLK": { | |
"direction": "input", | |
"bits": [ 2 ] | |
}, | |
"PLLOUTCOREA": { | |
"direction": "output", | |
"bits": [ 3 ] | |
}, | |
"PLLOUTGLOBALA": { | |
"direction": "output", | |
"bits": [ 4 ] | |
}, | |
"PLLOUTCOREB": { | |
"direction": "output", | |
"bits": [ 5 ] | |
}, | |
"PLLOUTGLOBALB": { | |
"direction": "output", | |
"bits": [ 6 ] | |
}, | |
"EXTFEEDBACK": { | |
"direction": "input", | |
"bits": [ 7 ] | |
}, | |
"DYNAMICDELAY": { | |
"direction": "input", | |
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] | |
}, | |
"LOCK": { | |
"direction": "output", | |
"bits": [ 16 ] | |
}, | |
"BYPASS": { | |
"direction": "input", | |
"bits": [ 17 ] | |
}, | |
"RESETB": { | |
"direction": "input", | |
"bits": [ 18 ] | |
}, | |
"LATCHINPUTVALUE": { | |
"direction": "input", | |
"bits": [ 19 ] | |
}, | |
"SDO": { | |
"direction": "output", | |
"bits": [ 20 ] | |
}, | |
"SDI": { | |
"direction": "input", | |
"bits": [ 21 ] | |
}, | |
"SCLK": { | |
"direction": "input", | |
"bits": [ 22 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"BYPASS": { | |
"hide_name": 0, | |
"bits": [ 17 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:848" | |
} | |
}, | |
"DYNAMICDELAY": { | |
"hide_name": 0, | |
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:846" | |
} | |
}, | |
"EXTFEEDBACK": { | |
"hide_name": 0, | |
"bits": [ 7 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:845" | |
} | |
}, | |
"LATCHINPUTVALUE": { | |
"hide_name": 0, | |
"bits": [ 19 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:850" | |
} | |
}, | |
"LOCK": { | |
"hide_name": 0, | |
"bits": [ 16 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:847" | |
} | |
}, | |
"PLLOUTCOREA": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:841" | |
} | |
}, | |
"PLLOUTCOREB": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:843" | |
} | |
}, | |
"PLLOUTGLOBALA": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:842" | |
} | |
}, | |
"PLLOUTGLOBALB": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:844" | |
} | |
}, | |
"REFERENCECLK": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:840" | |
} | |
}, | |
"RESETB": { | |
"hide_name": 0, | |
"bits": [ 18 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:849" | |
} | |
}, | |
"SCLK": { | |
"hide_name": 0, | |
"bits": [ 22 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:853" | |
} | |
}, | |
"SDI": { | |
"hide_name": 0, | |
"bits": [ 21 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:852" | |
} | |
}, | |
"SDO": { | |
"hide_name": 0, | |
"bits": [ 20 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:851" | |
} | |
} | |
} | |
}, | |
"SB_PLL40_2F_PAD": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:874" | |
}, | |
"ports": { | |
"PACKAGEPIN": { | |
"direction": "input", | |
"bits": [ 2 ] | |
}, | |
"PLLOUTCOREA": { | |
"direction": "output", | |
"bits": [ 3 ] | |
}, | |
"PLLOUTGLOBALA": { | |
"direction": "output", | |
"bits": [ 4 ] | |
}, | |
"PLLOUTCOREB": { | |
"direction": "output", | |
"bits": [ 5 ] | |
}, | |
"PLLOUTGLOBALB": { | |
"direction": "output", | |
"bits": [ 6 ] | |
}, | |
"EXTFEEDBACK": { | |
"direction": "input", | |
"bits": [ 7 ] | |
}, | |
"DYNAMICDELAY": { | |
"direction": "input", | |
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] | |
}, | |
"LOCK": { | |
"direction": "output", | |
"bits": [ 16 ] | |
}, | |
"BYPASS": { | |
"direction": "input", | |
"bits": [ 17 ] | |
}, | |
"RESETB": { | |
"direction": "input", | |
"bits": [ 18 ] | |
}, | |
"LATCHINPUTVALUE": { | |
"direction": "input", | |
"bits": [ 19 ] | |
}, | |
"SDO": { | |
"direction": "output", | |
"bits": [ 20 ] | |
}, | |
"SDI": { | |
"direction": "input", | |
"bits": [ 21 ] | |
}, | |
"SCLK": { | |
"direction": "input", | |
"bits": [ 22 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"BYPASS": { | |
"hide_name": 0, | |
"bits": [ 17 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:883" | |
} | |
}, | |
"DYNAMICDELAY": { | |
"hide_name": 0, | |
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:881" | |
} | |
}, | |
"EXTFEEDBACK": { | |
"hide_name": 0, | |
"bits": [ 7 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:880" | |
} | |
}, | |
"LATCHINPUTVALUE": { | |
"hide_name": 0, | |
"bits": [ 19 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:885" | |
} | |
}, | |
"LOCK": { | |
"hide_name": 0, | |
"bits": [ 16 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:882" | |
} | |
}, | |
"PACKAGEPIN": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:875" | |
} | |
}, | |
"PLLOUTCOREA": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:876" | |
} | |
}, | |
"PLLOUTCOREB": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:878" | |
} | |
}, | |
"PLLOUTGLOBALA": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:877" | |
} | |
}, | |
"PLLOUTGLOBALB": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:879" | |
} | |
}, | |
"RESETB": { | |
"hide_name": 0, | |
"bits": [ 18 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:884" | |
} | |
}, | |
"SCLK": { | |
"hide_name": 0, | |
"bits": [ 22 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:888" | |
} | |
}, | |
"SDI": { | |
"hide_name": 0, | |
"bits": [ 21 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:887" | |
} | |
}, | |
"SDO": { | |
"hide_name": 0, | |
"bits": [ 20 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:886" | |
} | |
} | |
} | |
}, | |
"SB_PLL40_2_PAD": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:805" | |
}, | |
"ports": { | |
"PACKAGEPIN": { | |
"direction": "input", | |
"bits": [ 2 ] | |
}, | |
"PLLOUTCOREA": { | |
"direction": "output", | |
"bits": [ 3 ] | |
}, | |
"PLLOUTGLOBALA": { | |
"direction": "output", | |
"bits": [ 4 ] | |
}, | |
"PLLOUTCOREB": { | |
"direction": "output", | |
"bits": [ 5 ] | |
}, | |
"PLLOUTGLOBALB": { | |
"direction": "output", | |
"bits": [ 6 ] | |
}, | |
"EXTFEEDBACK": { | |
"direction": "input", | |
"bits": [ 7 ] | |
}, | |
"DYNAMICDELAY": { | |
"direction": "input", | |
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] | |
}, | |
"LOCK": { | |
"direction": "output", | |
"bits": [ 16 ] | |
}, | |
"BYPASS": { | |
"direction": "input", | |
"bits": [ 17 ] | |
}, | |
"RESETB": { | |
"direction": "input", | |
"bits": [ 18 ] | |
}, | |
"LATCHINPUTVALUE": { | |
"direction": "input", | |
"bits": [ 19 ] | |
}, | |
"SDO": { | |
"direction": "output", | |
"bits": [ 20 ] | |
}, | |
"SDI": { | |
"direction": "input", | |
"bits": [ 21 ] | |
}, | |
"SCLK": { | |
"direction": "input", | |
"bits": [ 22 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"BYPASS": { | |
"hide_name": 0, | |
"bits": [ 17 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:814" | |
} | |
}, | |
"DYNAMICDELAY": { | |
"hide_name": 0, | |
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:812" | |
} | |
}, | |
"EXTFEEDBACK": { | |
"hide_name": 0, | |
"bits": [ 7 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:811" | |
} | |
}, | |
"LATCHINPUTVALUE": { | |
"hide_name": 0, | |
"bits": [ 19 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:816" | |
} | |
}, | |
"LOCK": { | |
"hide_name": 0, | |
"bits": [ 16 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:813" | |
} | |
}, | |
"PACKAGEPIN": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:806" | |
} | |
}, | |
"PLLOUTCOREA": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:807" | |
} | |
}, | |
"PLLOUTCOREB": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:809" | |
} | |
}, | |
"PLLOUTGLOBALA": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:808" | |
} | |
}, | |
"PLLOUTGLOBALB": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:810" | |
} | |
}, | |
"RESETB": { | |
"hide_name": 0, | |
"bits": [ 18 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:815" | |
} | |
}, | |
"SCLK": { | |
"hide_name": 0, | |
"bits": [ 22 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:819" | |
} | |
}, | |
"SDI": { | |
"hide_name": 0, | |
"bits": [ 21 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:818" | |
} | |
}, | |
"SDO": { | |
"hide_name": 0, | |
"bits": [ 20 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:817" | |
} | |
} | |
} | |
}, | |
"SB_PLL40_CORE": { | |
"attributes": { | |
"blackbox": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:743" | |
}, | |
"ports": { | |
"REFERENCECLK": { | |
"direction": "input", | |
"bits": [ 2 ] | |
}, | |
"PLLOUTCORE": { | |
"direction": "output", | |
"bits": [ 3 ] | |
}, | |
"PLLOUTGLOBAL": { | |
"direction": "output", | |
"bits": [ 4 ] | |
}, | |
"EXTFEEDBACK": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"DYNAMICDELAY": { | |
"direction": "input", | |
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ] | |
}, | |
"LOCK": { | |
"direction": "output", | |
"bits": [ 14 ] | |
}, | |
"BYPASS": { | |
"direction": "input", | |
"bits": [ 15 ] | |
}, | |
"RESETB": { | |
"direction": "input", | |
"bits": [ 16 ] | |
}, | |
"LATCHINPUTVALUE": { | |
"direction": "input", | |
"bits": [ 17 ] | |
}, | |
"SDO": { | |
"direction": "output", | |
"bits": [ 18 ] | |
}, | |
"SDI": { | |
"direction": "input", | |
"bits": [ 19 ] | |
}, | |
"SCLK": { | |
"direction": "input", | |
"bits": [ 20 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"BYPASS": { | |
"hide_name": 0, | |
"bits": [ 15 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:750" | |
} | |
}, | |
"DYNAMICDELAY": { | |
"hide_name": 0, | |
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:748" | |
} | |
}, | |
"EXTFEEDBACK": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:747" | |
} | |
}, | |
"LATCHINPUTVALUE": { | |
"hide_name": 0, | |
"bits": [ 17 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:752" | |
} | |
}, | |
"LOCK": { | |
"hide_name": 0, | |
"bits": [ 14 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:749" | |
} | |
}, | |
"PLLOUTCORE": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:745" | |
} | |
}, | |
"PLLOUTGLOBAL": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:746" | |
} | |
}, | |
"REFERENCECLK": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:744" | |
} | |
}, | |
"RESETB": { | |
"hide_name": 0, | |
"bits": [ 16 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:751" | |
} | |
}, | |
"SCLK": { | |
"hide_name": 0, | |
"bits": [ 20 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:755" | |
} | |
}, | |
"SDI": { | |
"hide_name": 0, | |
"bits": [ 19 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:754" | |
} | |
}, | |
"SDO": { | |
"hide_name": 0, | |
"bits": [ 18 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:753" | |
} | |
} | |
} | |
}, | |
"SB_PLL40_PAD": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:774" | |
}, | |
"ports": { | |
"PACKAGEPIN": { | |
"direction": "input", | |
"bits": [ 2 ] | |
}, | |
"PLLOUTCORE": { | |
"direction": "output", | |
"bits": [ 3 ] | |
}, | |
"PLLOUTGLOBAL": { | |
"direction": "output", | |
"bits": [ 4 ] | |
}, | |
"EXTFEEDBACK": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"DYNAMICDELAY": { | |
"direction": "input", | |
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ] | |
}, | |
"LOCK": { | |
"direction": "output", | |
"bits": [ 14 ] | |
}, | |
"BYPASS": { | |
"direction": "input", | |
"bits": [ 15 ] | |
}, | |
"RESETB": { | |
"direction": "input", | |
"bits": [ 16 ] | |
}, | |
"LATCHINPUTVALUE": { | |
"direction": "input", | |
"bits": [ 17 ] | |
}, | |
"SDO": { | |
"direction": "output", | |
"bits": [ 18 ] | |
}, | |
"SDI": { | |
"direction": "input", | |
"bits": [ 19 ] | |
}, | |
"SCLK": { | |
"direction": "input", | |
"bits": [ 20 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"BYPASS": { | |
"hide_name": 0, | |
"bits": [ 15 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:781" | |
} | |
}, | |
"DYNAMICDELAY": { | |
"hide_name": 0, | |
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:779" | |
} | |
}, | |
"EXTFEEDBACK": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:778" | |
} | |
}, | |
"LATCHINPUTVALUE": { | |
"hide_name": 0, | |
"bits": [ 17 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:783" | |
} | |
}, | |
"LOCK": { | |
"hide_name": 0, | |
"bits": [ 14 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:780" | |
} | |
}, | |
"PACKAGEPIN": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:775" | |
} | |
}, | |
"PLLOUTCORE": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:776" | |
} | |
}, | |
"PLLOUTGLOBAL": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:777" | |
} | |
}, | |
"RESETB": { | |
"hide_name": 0, | |
"bits": [ 16 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:782" | |
} | |
}, | |
"SCLK": { | |
"hide_name": 0, | |
"bits": [ 20 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:786" | |
} | |
}, | |
"SDI": { | |
"hide_name": 0, | |
"bits": [ 19 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:785" | |
} | |
}, | |
"SDO": { | |
"hide_name": 0, | |
"bits": [ 18 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:784" | |
} | |
} | |
} | |
}, | |
"SB_RAM40_4K": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:331" | |
}, | |
"ports": { | |
"RDATA": { | |
"direction": "output", | |
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] | |
}, | |
"RCLK": { | |
"direction": "input", | |
"bits": [ 18 ] | |
}, | |
"RCLKE": { | |
"direction": "input", | |
"bits": [ 19 ] | |
}, | |
"RE": { | |
"direction": "input", | |
"bits": [ 20 ] | |
}, | |
"RADDR": { | |
"direction": "input", | |
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] | |
}, | |
"WCLK": { | |
"direction": "input", | |
"bits": [ 32 ] | |
}, | |
"WCLKE": { | |
"direction": "input", | |
"bits": [ 33 ] | |
}, | |
"WE": { | |
"direction": "input", | |
"bits": [ 34 ] | |
}, | |
"WADDR": { | |
"direction": "input", | |
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] | |
}, | |
"MASK": { | |
"direction": "input", | |
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] | |
}, | |
"WDATA": { | |
"direction": "input", | |
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"MASK": { | |
"hide_name": 0, | |
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:337" | |
} | |
}, | |
"RADDR": { | |
"hide_name": 0, | |
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:334" | |
} | |
}, | |
"RCLK": { | |
"hide_name": 0, | |
"bits": [ 18 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:333" | |
} | |
}, | |
"RCLKE": { | |
"hide_name": 0, | |
"bits": [ 19 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:333" | |
} | |
}, | |
"RDATA": { | |
"hide_name": 0, | |
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:332" | |
} | |
}, | |
"RE": { | |
"hide_name": 0, | |
"bits": [ 20 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:333" | |
} | |
}, | |
"WADDR": { | |
"hide_name": 0, | |
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:336" | |
} | |
}, | |
"WCLK": { | |
"hide_name": 0, | |
"bits": [ 32 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:335" | |
} | |
}, | |
"WCLKE": { | |
"hide_name": 0, | |
"bits": [ 33 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:335" | |
} | |
}, | |
"WDATA": { | |
"hide_name": 0, | |
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:337" | |
} | |
}, | |
"WE": { | |
"hide_name": 0, | |
"bits": [ 34 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:335" | |
} | |
} | |
} | |
}, | |
"SB_RAM40_4KNR": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:499" | |
}, | |
"ports": { | |
"RDATA": { | |
"direction": "output", | |
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] | |
}, | |
"RCLKN": { | |
"direction": "input", | |
"bits": [ 18 ] | |
}, | |
"RCLKE": { | |
"direction": "input", | |
"bits": [ 19 ] | |
}, | |
"RE": { | |
"direction": "input", | |
"bits": [ 20 ] | |
}, | |
"RADDR": { | |
"direction": "input", | |
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] | |
}, | |
"WCLK": { | |
"direction": "input", | |
"bits": [ 32 ] | |
}, | |
"WCLKE": { | |
"direction": "input", | |
"bits": [ 33 ] | |
}, | |
"WE": { | |
"direction": "input", | |
"bits": [ 34 ] | |
}, | |
"WADDR": { | |
"direction": "input", | |
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] | |
}, | |
"MASK": { | |
"direction": "input", | |
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] | |
}, | |
"WDATA": { | |
"direction": "input", | |
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"MASK": { | |
"hide_name": 0, | |
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:505" | |
} | |
}, | |
"RADDR": { | |
"hide_name": 0, | |
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:502" | |
} | |
}, | |
"RCLKE": { | |
"hide_name": 0, | |
"bits": [ 19 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:501" | |
} | |
}, | |
"RCLKN": { | |
"hide_name": 0, | |
"bits": [ 18 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:501" | |
} | |
}, | |
"RDATA": { | |
"hide_name": 0, | |
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:500" | |
} | |
}, | |
"RE": { | |
"hide_name": 0, | |
"bits": [ 20 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:501" | |
} | |
}, | |
"WADDR": { | |
"hide_name": 0, | |
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:504" | |
} | |
}, | |
"WCLK": { | |
"hide_name": 0, | |
"bits": [ 32 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:503" | |
} | |
}, | |
"WCLKE": { | |
"hide_name": 0, | |
"bits": [ 33 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:503" | |
} | |
}, | |
"WDATA": { | |
"hide_name": 0, | |
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:505" | |
} | |
}, | |
"WE": { | |
"hide_name": 0, | |
"bits": [ 34 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:503" | |
} | |
} | |
} | |
}, | |
"SB_RAM40_4KNRNW": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:629" | |
}, | |
"ports": { | |
"RDATA": { | |
"direction": "output", | |
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] | |
}, | |
"RCLKN": { | |
"direction": "input", | |
"bits": [ 18 ] | |
}, | |
"RCLKE": { | |
"direction": "input", | |
"bits": [ 19 ] | |
}, | |
"RE": { | |
"direction": "input", | |
"bits": [ 20 ] | |
}, | |
"RADDR": { | |
"direction": "input", | |
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] | |
}, | |
"WCLKN": { | |
"direction": "input", | |
"bits": [ 32 ] | |
}, | |
"WCLKE": { | |
"direction": "input", | |
"bits": [ 33 ] | |
}, | |
"WE": { | |
"direction": "input", | |
"bits": [ 34 ] | |
}, | |
"WADDR": { | |
"direction": "input", | |
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] | |
}, | |
"MASK": { | |
"direction": "input", | |
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] | |
}, | |
"WDATA": { | |
"direction": "input", | |
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"MASK": { | |
"hide_name": 0, | |
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:635" | |
} | |
}, | |
"RADDR": { | |
"hide_name": 0, | |
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:632" | |
} | |
}, | |
"RCLKE": { | |
"hide_name": 0, | |
"bits": [ 19 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:631" | |
} | |
}, | |
"RCLKN": { | |
"hide_name": 0, | |
"bits": [ 18 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:631" | |
} | |
}, | |
"RDATA": { | |
"hide_name": 0, | |
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:630" | |
} | |
}, | |
"RE": { | |
"hide_name": 0, | |
"bits": [ 20 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:631" | |
} | |
}, | |
"WADDR": { | |
"hide_name": 0, | |
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:634" | |
} | |
}, | |
"WCLKE": { | |
"hide_name": 0, | |
"bits": [ 33 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:633" | |
} | |
}, | |
"WCLKN": { | |
"hide_name": 0, | |
"bits": [ 32 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:633" | |
} | |
}, | |
"WDATA": { | |
"hide_name": 0, | |
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:635" | |
} | |
}, | |
"WE": { | |
"hide_name": 0, | |
"bits": [ 34 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:633" | |
} | |
} | |
} | |
}, | |
"SB_RAM40_4KNW": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:564" | |
}, | |
"ports": { | |
"RDATA": { | |
"direction": "output", | |
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] | |
}, | |
"RCLK": { | |
"direction": "input", | |
"bits": [ 18 ] | |
}, | |
"RCLKE": { | |
"direction": "input", | |
"bits": [ 19 ] | |
}, | |
"RE": { | |
"direction": "input", | |
"bits": [ 20 ] | |
}, | |
"RADDR": { | |
"direction": "input", | |
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] | |
}, | |
"WCLKN": { | |
"direction": "input", | |
"bits": [ 32 ] | |
}, | |
"WCLKE": { | |
"direction": "input", | |
"bits": [ 33 ] | |
}, | |
"WE": { | |
"direction": "input", | |
"bits": [ 34 ] | |
}, | |
"WADDR": { | |
"direction": "input", | |
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] | |
}, | |
"MASK": { | |
"direction": "input", | |
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] | |
}, | |
"WDATA": { | |
"direction": "input", | |
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"MASK": { | |
"hide_name": 0, | |
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:570" | |
} | |
}, | |
"RADDR": { | |
"hide_name": 0, | |
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:567" | |
} | |
}, | |
"RCLK": { | |
"hide_name": 0, | |
"bits": [ 18 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:566" | |
} | |
}, | |
"RCLKE": { | |
"hide_name": 0, | |
"bits": [ 19 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:566" | |
} | |
}, | |
"RDATA": { | |
"hide_name": 0, | |
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:565" | |
} | |
}, | |
"RE": { | |
"hide_name": 0, | |
"bits": [ 20 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:566" | |
} | |
}, | |
"WADDR": { | |
"hide_name": 0, | |
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:569" | |
} | |
}, | |
"WCLKE": { | |
"hide_name": 0, | |
"bits": [ 33 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:568" | |
} | |
}, | |
"WCLKN": { | |
"hide_name": 0, | |
"bits": [ 32 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:568" | |
} | |
}, | |
"WDATA": { | |
"hide_name": 0, | |
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:570" | |
} | |
}, | |
"WE": { | |
"hide_name": 0, | |
"bits": [ 34 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:568" | |
} | |
} | |
} | |
}, | |
"SB_RGBA_DRV": { | |
"attributes": { | |
"blackbox": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:985" | |
}, | |
"ports": { | |
"CURREN": { | |
"direction": "input", | |
"bits": [ 2 ] | |
}, | |
"RGBLEDEN": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"RGB0PWM": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"RGB1PWM": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"RGB2PWM": { | |
"direction": "input", | |
"bits": [ 6 ] | |
}, | |
"RGB0": { | |
"direction": "output", | |
"bits": [ 7 ] | |
}, | |
"RGB1": { | |
"direction": "output", | |
"bits": [ 8 ] | |
}, | |
"RGB2": { | |
"direction": "output", | |
"bits": [ 9 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"CURREN": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:986" | |
} | |
}, | |
"RGB0": { | |
"hide_name": 0, | |
"bits": [ 7 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:991" | |
} | |
}, | |
"RGB0PWM": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:988" | |
} | |
}, | |
"RGB1": { | |
"hide_name": 0, | |
"bits": [ 8 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:992" | |
} | |
}, | |
"RGB1PWM": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:989" | |
} | |
}, | |
"RGB2": { | |
"hide_name": 0, | |
"bits": [ 9 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:993" | |
} | |
}, | |
"RGB2PWM": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:990" | |
} | |
}, | |
"RGBLEDEN": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:987" | |
} | |
} | |
} | |
}, | |
"SB_RGB_DRV": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1009" | |
}, | |
"ports": { | |
"RGBLEDEN": { | |
"direction": "input", | |
"bits": [ 2 ] | |
}, | |
"RGB0PWM": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"RGB1PWM": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"RGB2PWM": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"RGBPU": { | |
"direction": "input", | |
"bits": [ 6 ] | |
}, | |
"RGB0": { | |
"direction": "output", | |
"bits": [ 7 ] | |
}, | |
"RGB1": { | |
"direction": "output", | |
"bits": [ 8 ] | |
}, | |
"RGB2": { | |
"direction": "output", | |
"bits": [ 9 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"RGB0": { | |
"hide_name": 0, | |
"bits": [ 7 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1015" | |
} | |
}, | |
"RGB0PWM": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1011" | |
} | |
}, | |
"RGB1": { | |
"hide_name": 0, | |
"bits": [ 8 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1016" | |
} | |
}, | |
"RGB1PWM": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1012" | |
} | |
}, | |
"RGB2": { | |
"hide_name": 0, | |
"bits": [ 9 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1017" | |
} | |
}, | |
"RGB2PWM": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1013" | |
} | |
}, | |
"RGBLEDEN": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1010" | |
} | |
}, | |
"RGBPU": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1014" | |
} | |
} | |
} | |
}, | |
"SB_SPI": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1069" | |
}, | |
"ports": { | |
"SBCLKI": { | |
"direction": "input", | |
"bits": [ 2 ] | |
}, | |
"SBRWI": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"SBSTBI": { | |
"direction": "input", | |
"bits": [ 4 ] | |
}, | |
"SBADRI7": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"SBADRI6": { | |
"direction": "input", | |
"bits": [ 6 ] | |
}, | |
"SBADRI5": { | |
"direction": "input", | |
"bits": [ 7 ] | |
}, | |
"SBADRI4": { | |
"direction": "input", | |
"bits": [ 8 ] | |
}, | |
"SBADRI3": { | |
"direction": "input", | |
"bits": [ 9 ] | |
}, | |
"SBADRI2": { | |
"direction": "input", | |
"bits": [ 10 ] | |
}, | |
"SBADRI1": { | |
"direction": "input", | |
"bits": [ 11 ] | |
}, | |
"SBADRI0": { | |
"direction": "input", | |
"bits": [ 12 ] | |
}, | |
"SBDATI7": { | |
"direction": "input", | |
"bits": [ 13 ] | |
}, | |
"SBDATI6": { | |
"direction": "input", | |
"bits": [ 14 ] | |
}, | |
"SBDATI5": { | |
"direction": "input", | |
"bits": [ 15 ] | |
}, | |
"SBDATI4": { | |
"direction": "input", | |
"bits": [ 16 ] | |
}, | |
"SBDATI3": { | |
"direction": "input", | |
"bits": [ 17 ] | |
}, | |
"SBDATI2": { | |
"direction": "input", | |
"bits": [ 18 ] | |
}, | |
"SBDATI1": { | |
"direction": "input", | |
"bits": [ 19 ] | |
}, | |
"SBDATI0": { | |
"direction": "input", | |
"bits": [ 20 ] | |
}, | |
"MI": { | |
"direction": "input", | |
"bits": [ 21 ] | |
}, | |
"SI": { | |
"direction": "input", | |
"bits": [ 22 ] | |
}, | |
"SCKI": { | |
"direction": "input", | |
"bits": [ 23 ] | |
}, | |
"SCSNI": { | |
"direction": "input", | |
"bits": [ 24 ] | |
}, | |
"SBDATO7": { | |
"direction": "output", | |
"bits": [ 25 ] | |
}, | |
"SBDATO6": { | |
"direction": "output", | |
"bits": [ 26 ] | |
}, | |
"SBDATO5": { | |
"direction": "output", | |
"bits": [ 27 ] | |
}, | |
"SBDATO4": { | |
"direction": "output", | |
"bits": [ 28 ] | |
}, | |
"SBDATO3": { | |
"direction": "output", | |
"bits": [ 29 ] | |
}, | |
"SBDATO2": { | |
"direction": "output", | |
"bits": [ 30 ] | |
}, | |
"SBDATO1": { | |
"direction": "output", | |
"bits": [ 31 ] | |
}, | |
"SBDATO0": { | |
"direction": "output", | |
"bits": [ 32 ] | |
}, | |
"SBACKO": { | |
"direction": "output", | |
"bits": [ 33 ] | |
}, | |
"SPIIRQ": { | |
"direction": "output", | |
"bits": [ 34 ] | |
}, | |
"SPIWKUP": { | |
"direction": "output", | |
"bits": [ 35 ] | |
}, | |
"SO": { | |
"direction": "output", | |
"bits": [ 36 ] | |
}, | |
"SOE": { | |
"direction": "output", | |
"bits": [ 37 ] | |
}, | |
"MO": { | |
"direction": "output", | |
"bits": [ 38 ] | |
}, | |
"MOE": { | |
"direction": "output", | |
"bits": [ 39 ] | |
}, | |
"SCKO": { | |
"direction": "output", | |
"bits": [ 40 ] | |
}, | |
"SCKOE": { | |
"direction": "output", | |
"bits": [ 41 ] | |
}, | |
"MCSNO3": { | |
"direction": "output", | |
"bits": [ 42 ] | |
}, | |
"MCSNO2": { | |
"direction": "output", | |
"bits": [ 43 ] | |
}, | |
"MCSNO1": { | |
"direction": "output", | |
"bits": [ 44 ] | |
}, | |
"MCSNO0": { | |
"direction": "output", | |
"bits": [ 45 ] | |
}, | |
"MCSNOE3": { | |
"direction": "output", | |
"bits": [ 46 ] | |
}, | |
"MCSNOE2": { | |
"direction": "output", | |
"bits": [ 47 ] | |
}, | |
"MCSNOE1": { | |
"direction": "output", | |
"bits": [ 48 ] | |
}, | |
"MCSNOE0": { | |
"direction": "output", | |
"bits": [ 49 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"MCSNO0": { | |
"hide_name": 0, | |
"bits": [ 45 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1113" | |
} | |
}, | |
"MCSNO1": { | |
"hide_name": 0, | |
"bits": [ 44 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1112" | |
} | |
}, | |
"MCSNO2": { | |
"hide_name": 0, | |
"bits": [ 43 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1111" | |
} | |
}, | |
"MCSNO3": { | |
"hide_name": 0, | |
"bits": [ 42 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1110" | |
} | |
}, | |
"MCSNOE0": { | |
"hide_name": 0, | |
"bits": [ 49 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1117" | |
} | |
}, | |
"MCSNOE1": { | |
"hide_name": 0, | |
"bits": [ 48 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1116" | |
} | |
}, | |
"MCSNOE2": { | |
"hide_name": 0, | |
"bits": [ 47 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1115" | |
} | |
}, | |
"MCSNOE3": { | |
"hide_name": 0, | |
"bits": [ 46 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1114" | |
} | |
}, | |
"MI": { | |
"hide_name": 0, | |
"bits": [ 21 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1089" | |
} | |
}, | |
"MO": { | |
"hide_name": 0, | |
"bits": [ 38 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1106" | |
} | |
}, | |
"MOE": { | |
"hide_name": 0, | |
"bits": [ 39 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1107" | |
} | |
}, | |
"SBACKO": { | |
"hide_name": 0, | |
"bits": [ 33 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1101" | |
} | |
}, | |
"SBADRI0": { | |
"hide_name": 0, | |
"bits": [ 12 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1080" | |
} | |
}, | |
"SBADRI1": { | |
"hide_name": 0, | |
"bits": [ 11 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1079" | |
} | |
}, | |
"SBADRI2": { | |
"hide_name": 0, | |
"bits": [ 10 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1078" | |
} | |
}, | |
"SBADRI3": { | |
"hide_name": 0, | |
"bits": [ 9 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1077" | |
} | |
}, | |
"SBADRI4": { | |
"hide_name": 0, | |
"bits": [ 8 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1076" | |
} | |
}, | |
"SBADRI5": { | |
"hide_name": 0, | |
"bits": [ 7 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1075" | |
} | |
}, | |
"SBADRI6": { | |
"hide_name": 0, | |
"bits": [ 6 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1074" | |
} | |
}, | |
"SBADRI7": { | |
"hide_name": 0, | |
"bits": [ 5 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1073" | |
} | |
}, | |
"SBCLKI": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1070" | |
} | |
}, | |
"SBDATI0": { | |
"hide_name": 0, | |
"bits": [ 20 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1088" | |
} | |
}, | |
"SBDATI1": { | |
"hide_name": 0, | |
"bits": [ 19 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1087" | |
} | |
}, | |
"SBDATI2": { | |
"hide_name": 0, | |
"bits": [ 18 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1086" | |
} | |
}, | |
"SBDATI3": { | |
"hide_name": 0, | |
"bits": [ 17 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1085" | |
} | |
}, | |
"SBDATI4": { | |
"hide_name": 0, | |
"bits": [ 16 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1084" | |
} | |
}, | |
"SBDATI5": { | |
"hide_name": 0, | |
"bits": [ 15 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1083" | |
} | |
}, | |
"SBDATI6": { | |
"hide_name": 0, | |
"bits": [ 14 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1082" | |
} | |
}, | |
"SBDATI7": { | |
"hide_name": 0, | |
"bits": [ 13 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1081" | |
} | |
}, | |
"SBDATO0": { | |
"hide_name": 0, | |
"bits": [ 32 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1100" | |
} | |
}, | |
"SBDATO1": { | |
"hide_name": 0, | |
"bits": [ 31 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1099" | |
} | |
}, | |
"SBDATO2": { | |
"hide_name": 0, | |
"bits": [ 30 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1098" | |
} | |
}, | |
"SBDATO3": { | |
"hide_name": 0, | |
"bits": [ 29 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1097" | |
} | |
}, | |
"SBDATO4": { | |
"hide_name": 0, | |
"bits": [ 28 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1096" | |
} | |
}, | |
"SBDATO5": { | |
"hide_name": 0, | |
"bits": [ 27 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1095" | |
} | |
}, | |
"SBDATO6": { | |
"hide_name": 0, | |
"bits": [ 26 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1094" | |
} | |
}, | |
"SBDATO7": { | |
"hide_name": 0, | |
"bits": [ 25 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1093" | |
} | |
}, | |
"SBRWI": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1071" | |
} | |
}, | |
"SBSTBI": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1072" | |
} | |
}, | |
"SCKI": { | |
"hide_name": 0, | |
"bits": [ 23 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1091" | |
} | |
}, | |
"SCKO": { | |
"hide_name": 0, | |
"bits": [ 40 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1108" | |
} | |
}, | |
"SCKOE": { | |
"hide_name": 0, | |
"bits": [ 41 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1109" | |
} | |
}, | |
"SCSNI": { | |
"hide_name": 0, | |
"bits": [ 24 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1092" | |
} | |
}, | |
"SI": { | |
"hide_name": 0, | |
"bits": [ 22 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1090" | |
} | |
}, | |
"SO": { | |
"hide_name": 0, | |
"bits": [ 36 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1104" | |
} | |
}, | |
"SOE": { | |
"hide_name": 0, | |
"bits": [ 37 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1105" | |
} | |
}, | |
"SPIIRQ": { | |
"hide_name": 0, | |
"bits": [ 34 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1102" | |
} | |
}, | |
"SPIWKUP": { | |
"hide_name": 0, | |
"bits": [ 35 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:1103" | |
} | |
} | |
} | |
}, | |
"SB_SPRAM256KA": { | |
"attributes": { | |
"blackbox": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:918" | |
}, | |
"ports": { | |
"ADDRESS": { | |
"direction": "input", | |
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ] | |
}, | |
"DATAIN": { | |
"direction": "input", | |
"bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] | |
}, | |
"MASKWREN": { | |
"direction": "input", | |
"bits": [ 32, 33, 34, 35 ] | |
}, | |
"WREN": { | |
"direction": "input", | |
"bits": [ 36 ] | |
}, | |
"CHIPSELECT": { | |
"direction": "input", | |
"bits": [ 37 ] | |
}, | |
"CLOCK": { | |
"direction": "input", | |
"bits": [ 38 ] | |
}, | |
"STANDBY": { | |
"direction": "input", | |
"bits": [ 39 ] | |
}, | |
"SLEEP": { | |
"direction": "input", | |
"bits": [ 40 ] | |
}, | |
"POWEROFF": { | |
"direction": "input", | |
"bits": [ 41 ] | |
}, | |
"DATAOUT": { | |
"direction": "output", | |
"bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"ADDRESS": { | |
"hide_name": 0, | |
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:919" | |
} | |
}, | |
"CHIPSELECT": { | |
"hide_name": 0, | |
"bits": [ 37 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:922" | |
} | |
}, | |
"CLOCK": { | |
"hide_name": 0, | |
"bits": [ 38 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:922" | |
} | |
}, | |
"DATAIN": { | |
"hide_name": 0, | |
"bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:920" | |
} | |
}, | |
"DATAOUT": { | |
"hide_name": 0, | |
"bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:923" | |
} | |
}, | |
"MASKWREN": { | |
"hide_name": 0, | |
"bits": [ 32, 33, 34, 35 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:921" | |
} | |
}, | |
"POWEROFF": { | |
"hide_name": 0, | |
"bits": [ 41 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:922" | |
} | |
}, | |
"SLEEP": { | |
"hide_name": 0, | |
"bits": [ 40 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:922" | |
} | |
}, | |
"STANDBY": { | |
"hide_name": 0, | |
"bits": [ 39 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:922" | |
} | |
}, | |
"WREN": { | |
"hide_name": 0, | |
"bits": [ 36 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:922" | |
} | |
} | |
} | |
}, | |
"SB_WARMBOOT": { | |
"attributes": { | |
"blackbox": 1, | |
"keep": 1, | |
"cells_not_processed": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:911" | |
}, | |
"ports": { | |
"BOOT": { | |
"direction": "input", | |
"bits": [ 2 ] | |
}, | |
"S1": { | |
"direction": "input", | |
"bits": [ 3 ] | |
}, | |
"S0": { | |
"direction": "input", | |
"bits": [ 4 ] | |
} | |
}, | |
"cells": { | |
}, | |
"netnames": { | |
"BOOT": { | |
"hide_name": 0, | |
"bits": [ 2 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:912" | |
} | |
}, | |
"S0": { | |
"hide_name": 0, | |
"bits": [ 4 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:914" | |
} | |
}, | |
"S1": { | |
"hide_name": 0, | |
"bits": [ 3 ], | |
"attributes": { | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_sim.v:913" | |
} | |
} | |
} | |
}, | |
"blink": { | |
"attributes": { | |
"dynports": 1, | |
"top": 1, | |
"src": "blink.v:49" | |
}, | |
"ports": { | |
"rgb0": { | |
"direction": "output", | |
"bits": [ 2 ] | |
}, | |
"rgb1": { | |
"direction": "output", | |
"bits": [ 3 ] | |
}, | |
"rgb2": { | |
"direction": "output", | |
"bits": [ 4 ] | |
}, | |
"usb_dp": { | |
"direction": "output", | |
"bits": [ "0" ] | |
}, | |
"usb_dn": { | |
"direction": "output", | |
"bits": [ "0" ] | |
}, | |
"usb_dp_pu": { | |
"direction": "output", | |
"bits": [ "0" ] | |
}, | |
"user_1": { | |
"direction": "input", | |
"bits": [ 5 ] | |
}, | |
"user_2": { | |
"direction": "output", | |
"bits": [ "0" ] | |
}, | |
"user_3": { | |
"direction": "output", | |
"bits": [ "0" ] | |
}, | |
"user_4": { | |
"direction": "input", | |
"bits": [ 6 ] | |
}, | |
"clki": { | |
"direction": "input", | |
"bits": [ 7 ] | |
} | |
}, | |
"cells": { | |
"$abc$253$auto$blifparse.cc:492:parse_blif$254": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0000000011111111" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:41" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ "0" ], | |
"I3": [ 8 ], | |
"O": [ 9 ] | |
} | |
}, | |
"$abc$253$auto$blifparse.cc:492:parse_blif$255": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0000000011111111" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:41" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ "0" ], | |
"I3": [ 10 ], | |
"O": [ 11 ] | |
} | |
}, | |
"$abc$253$auto$blifparse.cc:492:parse_blif$256": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0000000011111111" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:41" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ "0" ], | |
"I3": [ 12 ], | |
"O": [ 13 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[0].adder": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:62|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:56" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "1" ], | |
"I2": [ 14 ], | |
"I3": [ "0" ], | |
"O": [ 15 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[10].adder": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:62|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:56" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ 16 ], | |
"I3": [ 17 ], | |
"O": [ 18 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[10].carry": { | |
"hide_name": 1, | |
"type": "SB_CARRY", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:56" | |
}, | |
"port_directions": { | |
"CI": "input", | |
"CO": "output", | |
"I0": "input", | |
"I1": "input" | |
}, | |
"connections": { | |
"CI": [ 17 ], | |
"CO": [ 19 ], | |
"I0": [ "0" ], | |
"I1": [ 16 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[11].adder": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:62|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:56" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ 20 ], | |
"I3": [ 19 ], | |
"O": [ 21 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[11].carry": { | |
"hide_name": 1, | |
"type": "SB_CARRY", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:56" | |
}, | |
"port_directions": { | |
"CI": "input", | |
"CO": "output", | |
"I0": "input", | |
"I1": "input" | |
}, | |
"connections": { | |
"CI": [ 19 ], | |
"CO": [ 22 ], | |
"I0": [ "0" ], | |
"I1": [ 20 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[12].adder": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:62|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:56" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ 23 ], | |
"I3": [ 22 ], | |
"O": [ 24 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[12].carry": { | |
"hide_name": 1, | |
"type": "SB_CARRY", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:56" | |
}, | |
"port_directions": { | |
"CI": "input", | |
"CO": "output", | |
"I0": "input", | |
"I1": "input" | |
}, | |
"connections": { | |
"CI": [ 22 ], | |
"CO": [ 25 ], | |
"I0": [ "0" ], | |
"I1": [ 23 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[13].adder": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:62|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:56" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ 26 ], | |
"I3": [ 25 ], | |
"O": [ 27 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[13].carry": { | |
"hide_name": 1, | |
"type": "SB_CARRY", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:56" | |
}, | |
"port_directions": { | |
"CI": "input", | |
"CO": "output", | |
"I0": "input", | |
"I1": "input" | |
}, | |
"connections": { | |
"CI": [ 25 ], | |
"CO": [ 28 ], | |
"I0": [ "0" ], | |
"I1": [ 26 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[14].adder": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:62|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:56" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ 29 ], | |
"I3": [ 28 ], | |
"O": [ 30 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[14].carry": { | |
"hide_name": 1, | |
"type": "SB_CARRY", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:56" | |
}, | |
"port_directions": { | |
"CI": "input", | |
"CO": "output", | |
"I0": "input", | |
"I1": "input" | |
}, | |
"connections": { | |
"CI": [ 28 ], | |
"CO": [ 31 ], | |
"I0": [ "0" ], | |
"I1": [ 29 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[15].adder": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:62|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:56" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ 32 ], | |
"I3": [ 31 ], | |
"O": [ 33 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[15].carry": { | |
"hide_name": 1, | |
"type": "SB_CARRY", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:56" | |
}, | |
"port_directions": { | |
"CI": "input", | |
"CO": "output", | |
"I0": "input", | |
"I1": "input" | |
}, | |
"connections": { | |
"CI": [ 31 ], | |
"CO": [ 34 ], | |
"I0": [ "0" ], | |
"I1": [ 32 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[16].adder": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:62|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:56" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ 35 ], | |
"I3": [ 34 ], | |
"O": [ 36 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[16].carry": { | |
"hide_name": 1, | |
"type": "SB_CARRY", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:56" | |
}, | |
"port_directions": { | |
"CI": "input", | |
"CO": "output", | |
"I0": "input", | |
"I1": "input" | |
}, | |
"connections": { | |
"CI": [ 34 ], | |
"CO": [ 37 ], | |
"I0": [ "0" ], | |
"I1": [ 35 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[17].adder": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:62|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:56" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ 38 ], | |
"I3": [ 37 ], | |
"O": [ 39 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[17].carry": { | |
"hide_name": 1, | |
"type": "SB_CARRY", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:56" | |
}, | |
"port_directions": { | |
"CI": "input", | |
"CO": "output", | |
"I0": "input", | |
"I1": "input" | |
}, | |
"connections": { | |
"CI": [ 37 ], | |
"CO": [ 40 ], | |
"I0": [ "0" ], | |
"I1": [ 38 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[18].adder": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:62|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:56" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ 41 ], | |
"I3": [ 40 ], | |
"O": [ 42 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[18].carry": { | |
"hide_name": 1, | |
"type": "SB_CARRY", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:56" | |
}, | |
"port_directions": { | |
"CI": "input", | |
"CO": "output", | |
"I0": "input", | |
"I1": "input" | |
}, | |
"connections": { | |
"CI": [ 40 ], | |
"CO": [ 43 ], | |
"I0": [ "0" ], | |
"I1": [ 41 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[19].adder": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:62|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:56" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ 44 ], | |
"I3": [ 43 ], | |
"O": [ 45 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[19].carry": { | |
"hide_name": 1, | |
"type": "SB_CARRY", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:56" | |
}, | |
"port_directions": { | |
"CI": "input", | |
"CO": "output", | |
"I0": "input", | |
"I1": "input" | |
}, | |
"connections": { | |
"CI": [ 43 ], | |
"CO": [ 46 ], | |
"I0": [ "0" ], | |
"I1": [ 44 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[1].carry": { | |
"hide_name": 1, | |
"type": "SB_CARRY", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:56" | |
}, | |
"port_directions": { | |
"CI": "input", | |
"CO": "output", | |
"I0": "input", | |
"I1": "input" | |
}, | |
"connections": { | |
"CI": [ 14 ], | |
"CO": [ 47 ], | |
"I0": [ "0" ], | |
"I1": [ 8 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[20].adder": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:62|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:56" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ 48 ], | |
"I3": [ 46 ], | |
"O": [ 49 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[20].carry": { | |
"hide_name": 1, | |
"type": "SB_CARRY", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:56" | |
}, | |
"port_directions": { | |
"CI": "input", | |
"CO": "output", | |
"I0": "input", | |
"I1": "input" | |
}, | |
"connections": { | |
"CI": [ 46 ], | |
"CO": [ 50 ], | |
"I0": [ "0" ], | |
"I1": [ 48 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[21].adder": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:62|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:56" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ 51 ], | |
"I3": [ 50 ], | |
"O": [ 52 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[21].carry": { | |
"hide_name": 1, | |
"type": "SB_CARRY", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:56" | |
}, | |
"port_directions": { | |
"CI": "input", | |
"CO": "output", | |
"I0": "input", | |
"I1": "input" | |
}, | |
"connections": { | |
"CI": [ 50 ], | |
"CO": [ 53 ], | |
"I0": [ "0" ], | |
"I1": [ 51 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[22].adder": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:62|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:56" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ 54 ], | |
"I3": [ 53 ], | |
"O": [ 55 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[22].carry": { | |
"hide_name": 1, | |
"type": "SB_CARRY", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:56" | |
}, | |
"port_directions": { | |
"CI": "input", | |
"CO": "output", | |
"I0": "input", | |
"I1": "input" | |
}, | |
"connections": { | |
"CI": [ 53 ], | |
"CO": [ 56 ], | |
"I0": [ "0" ], | |
"I1": [ 54 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[23].adder": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:62|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:56" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ 57 ], | |
"I3": [ 56 ], | |
"O": [ 58 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[2].adder": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:62|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:56" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ 59 ], | |
"I3": [ 47 ], | |
"O": [ 60 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[2].carry": { | |
"hide_name": 1, | |
"type": "SB_CARRY", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:56" | |
}, | |
"port_directions": { | |
"CI": "input", | |
"CO": "output", | |
"I0": "input", | |
"I1": "input" | |
}, | |
"connections": { | |
"CI": [ 47 ], | |
"CO": [ 61 ], | |
"I0": [ "0" ], | |
"I1": [ 59 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[3].adder": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:62|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:56" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ 62 ], | |
"I3": [ 61 ], | |
"O": [ 63 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[3].carry": { | |
"hide_name": 1, | |
"type": "SB_CARRY", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:56" | |
}, | |
"port_directions": { | |
"CI": "input", | |
"CO": "output", | |
"I0": "input", | |
"I1": "input" | |
}, | |
"connections": { | |
"CI": [ 61 ], | |
"CO": [ 64 ], | |
"I0": [ "0" ], | |
"I1": [ 62 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[4].adder": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:62|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:56" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ 65 ], | |
"I3": [ 64 ], | |
"O": [ 66 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[4].carry": { | |
"hide_name": 1, | |
"type": "SB_CARRY", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:56" | |
}, | |
"port_directions": { | |
"CI": "input", | |
"CO": "output", | |
"I0": "input", | |
"I1": "input" | |
}, | |
"connections": { | |
"CI": [ 64 ], | |
"CO": [ 67 ], | |
"I0": [ "0" ], | |
"I1": [ 65 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[5].adder": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:62|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:56" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ 68 ], | |
"I3": [ 67 ], | |
"O": [ 69 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[5].carry": { | |
"hide_name": 1, | |
"type": "SB_CARRY", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:56" | |
}, | |
"port_directions": { | |
"CI": "input", | |
"CO": "output", | |
"I0": "input", | |
"I1": "input" | |
}, | |
"connections": { | |
"CI": [ 67 ], | |
"CO": [ 70 ], | |
"I0": [ "0" ], | |
"I1": [ 68 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[6].adder": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:62|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:56" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ 71 ], | |
"I3": [ 70 ], | |
"O": [ 72 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[6].carry": { | |
"hide_name": 1, | |
"type": "SB_CARRY", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:56" | |
}, | |
"port_directions": { | |
"CI": "input", | |
"CO": "output", | |
"I0": "input", | |
"I1": "input" | |
}, | |
"connections": { | |
"CI": [ 70 ], | |
"CO": [ 73 ], | |
"I0": [ "0" ], | |
"I1": [ 71 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[7].adder": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:62|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:56" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ 74 ], | |
"I3": [ 73 ], | |
"O": [ 75 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[7].carry": { | |
"hide_name": 1, | |
"type": "SB_CARRY", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:56" | |
}, | |
"port_directions": { | |
"CI": "input", | |
"CO": "output", | |
"I0": "input", | |
"I1": "input" | |
}, | |
"connections": { | |
"CI": [ 73 ], | |
"CO": [ 76 ], | |
"I0": [ "0" ], | |
"I1": [ 74 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[8].adder": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:62|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:56" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ 77 ], | |
"I3": [ 76 ], | |
"O": [ 78 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[8].carry": { | |
"hide_name": 1, | |
"type": "SB_CARRY", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:56" | |
}, | |
"port_directions": { | |
"CI": "input", | |
"CO": "output", | |
"I0": "input", | |
"I1": "input" | |
}, | |
"connections": { | |
"CI": [ 76 ], | |
"CO": [ 79 ], | |
"I0": [ "0" ], | |
"I1": [ 77 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[9].adder": { | |
"hide_name": 1, | |
"type": "SB_LUT4", | |
"parameters": { | |
"LUT_INIT": "0110100110010110" | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:62|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:56" | |
}, | |
"port_directions": { | |
"I0": "input", | |
"I1": "input", | |
"I2": "input", | |
"I3": "input", | |
"O": "output" | |
}, | |
"connections": { | |
"I0": [ "0" ], | |
"I1": [ "0" ], | |
"I2": [ 80 ], | |
"I3": [ 79 ], | |
"O": [ 81 ] | |
} | |
}, | |
"$auto$alumacc.cc:474:replace_alu$17.slice[9].carry": { | |
"hide_name": 1, | |
"type": "SB_CARRY", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:186|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/arith_map.v:56" | |
}, | |
"port_directions": { | |
"CI": "input", | |
"CO": "output", | |
"I0": "input", | |
"I1": "input" | |
}, | |
"connections": { | |
"CI": [ 79 ], | |
"CO": [ 17 ], | |
"I0": [ "0" ], | |
"I1": [ 80 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$75": { | |
"hide_name": 1, | |
"type": "SB_DFF", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:185|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:2" | |
}, | |
"port_directions": { | |
"C": "input", | |
"D": "input", | |
"Q": "output" | |
}, | |
"connections": { | |
"C": [ 82 ], | |
"D": [ 15 ], | |
"Q": [ 14 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$76": { | |
"hide_name": 1, | |
"type": "SB_DFFE", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:185|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:8" | |
}, | |
"port_directions": { | |
"C": "input", | |
"D": "input", | |
"E": "input", | |
"Q": "output" | |
}, | |
"connections": { | |
"C": [ 82 ], | |
"D": [ 9 ], | |
"E": [ 14 ], | |
"Q": [ 8 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$77": { | |
"hide_name": 1, | |
"type": "SB_DFF", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:185|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:2" | |
}, | |
"port_directions": { | |
"C": "input", | |
"D": "input", | |
"Q": "output" | |
}, | |
"connections": { | |
"C": [ 82 ], | |
"D": [ 60 ], | |
"Q": [ 59 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$78": { | |
"hide_name": 1, | |
"type": "SB_DFF", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:185|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:2" | |
}, | |
"port_directions": { | |
"C": "input", | |
"D": "input", | |
"Q": "output" | |
}, | |
"connections": { | |
"C": [ 82 ], | |
"D": [ 63 ], | |
"Q": [ 62 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$79": { | |
"hide_name": 1, | |
"type": "SB_DFF", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:185|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:2" | |
}, | |
"port_directions": { | |
"C": "input", | |
"D": "input", | |
"Q": "output" | |
}, | |
"connections": { | |
"C": [ 82 ], | |
"D": [ 66 ], | |
"Q": [ 65 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$80": { | |
"hide_name": 1, | |
"type": "SB_DFF", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:185|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:2" | |
}, | |
"port_directions": { | |
"C": "input", | |
"D": "input", | |
"Q": "output" | |
}, | |
"connections": { | |
"C": [ 82 ], | |
"D": [ 69 ], | |
"Q": [ 68 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$81": { | |
"hide_name": 1, | |
"type": "SB_DFF", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:185|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:2" | |
}, | |
"port_directions": { | |
"C": "input", | |
"D": "input", | |
"Q": "output" | |
}, | |
"connections": { | |
"C": [ 82 ], | |
"D": [ 72 ], | |
"Q": [ 71 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$82": { | |
"hide_name": 1, | |
"type": "SB_DFF", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:185|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:2" | |
}, | |
"port_directions": { | |
"C": "input", | |
"D": "input", | |
"Q": "output" | |
}, | |
"connections": { | |
"C": [ 82 ], | |
"D": [ 75 ], | |
"Q": [ 74 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$83": { | |
"hide_name": 1, | |
"type": "SB_DFF", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:185|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:2" | |
}, | |
"port_directions": { | |
"C": "input", | |
"D": "input", | |
"Q": "output" | |
}, | |
"connections": { | |
"C": [ 82 ], | |
"D": [ 78 ], | |
"Q": [ 77 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$84": { | |
"hide_name": 1, | |
"type": "SB_DFF", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:185|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:2" | |
}, | |
"port_directions": { | |
"C": "input", | |
"D": "input", | |
"Q": "output" | |
}, | |
"connections": { | |
"C": [ 82 ], | |
"D": [ 81 ], | |
"Q": [ 80 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$85": { | |
"hide_name": 1, | |
"type": "SB_DFF", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:185|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:2" | |
}, | |
"port_directions": { | |
"C": "input", | |
"D": "input", | |
"Q": "output" | |
}, | |
"connections": { | |
"C": [ 82 ], | |
"D": [ 18 ], | |
"Q": [ 16 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$86": { | |
"hide_name": 1, | |
"type": "SB_DFF", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:185|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:2" | |
}, | |
"port_directions": { | |
"C": "input", | |
"D": "input", | |
"Q": "output" | |
}, | |
"connections": { | |
"C": [ 82 ], | |
"D": [ 21 ], | |
"Q": [ 20 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$87": { | |
"hide_name": 1, | |
"type": "SB_DFF", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:185|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:2" | |
}, | |
"port_directions": { | |
"C": "input", | |
"D": "input", | |
"Q": "output" | |
}, | |
"connections": { | |
"C": [ 82 ], | |
"D": [ 24 ], | |
"Q": [ 23 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$88": { | |
"hide_name": 1, | |
"type": "SB_DFF", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:185|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:2" | |
}, | |
"port_directions": { | |
"C": "input", | |
"D": "input", | |
"Q": "output" | |
}, | |
"connections": { | |
"C": [ 82 ], | |
"D": [ 27 ], | |
"Q": [ 26 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$89": { | |
"hide_name": 1, | |
"type": "SB_DFF", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:185|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:2" | |
}, | |
"port_directions": { | |
"C": "input", | |
"D": "input", | |
"Q": "output" | |
}, | |
"connections": { | |
"C": [ 82 ], | |
"D": [ 30 ], | |
"Q": [ 29 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$90": { | |
"hide_name": 1, | |
"type": "SB_DFF", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:185|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:2" | |
}, | |
"port_directions": { | |
"C": "input", | |
"D": "input", | |
"Q": "output" | |
}, | |
"connections": { | |
"C": [ 82 ], | |
"D": [ 33 ], | |
"Q": [ 32 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$91": { | |
"hide_name": 1, | |
"type": "SB_DFF", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:185|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:2" | |
}, | |
"port_directions": { | |
"C": "input", | |
"D": "input", | |
"Q": "output" | |
}, | |
"connections": { | |
"C": [ 82 ], | |
"D": [ 36 ], | |
"Q": [ 35 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$92": { | |
"hide_name": 1, | |
"type": "SB_DFF", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:185|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:2" | |
}, | |
"port_directions": { | |
"C": "input", | |
"D": "input", | |
"Q": "output" | |
}, | |
"connections": { | |
"C": [ 82 ], | |
"D": [ 39 ], | |
"Q": [ 38 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$93": { | |
"hide_name": 1, | |
"type": "SB_DFF", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:185|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:2" | |
}, | |
"port_directions": { | |
"C": "input", | |
"D": "input", | |
"Q": "output" | |
}, | |
"connections": { | |
"C": [ 82 ], | |
"D": [ 42 ], | |
"Q": [ 41 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$94": { | |
"hide_name": 1, | |
"type": "SB_DFF", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:185|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:2" | |
}, | |
"port_directions": { | |
"C": "input", | |
"D": "input", | |
"Q": "output" | |
}, | |
"connections": { | |
"C": [ 82 ], | |
"D": [ 45 ], | |
"Q": [ 44 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$95": { | |
"hide_name": 1, | |
"type": "SB_DFF", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:185|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:2" | |
}, | |
"port_directions": { | |
"C": "input", | |
"D": "input", | |
"Q": "output" | |
}, | |
"connections": { | |
"C": [ 82 ], | |
"D": [ 49 ], | |
"Q": [ 48 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$96": { | |
"hide_name": 1, | |
"type": "SB_DFF", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:185|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:2" | |
}, | |
"port_directions": { | |
"C": "input", | |
"D": "input", | |
"Q": "output" | |
}, | |
"connections": { | |
"C": [ 82 ], | |
"D": [ 52 ], | |
"Q": [ 51 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$97": { | |
"hide_name": 1, | |
"type": "SB_DFF", | |
"parameters": { | |
}, | |
"attributes": { | |
"module_not_derived": 1, | |
"src": "blink.v:185|C:\\PROGRA~3\\FOMU-T~1.3\\bin\\../share/yosys/ice40/cells_map.v:2" | |
}, | |
"port_directions": { | |
"C": "input", | |
"D": "input", | |
"Q": "output" | |
}, | |
"connections": { | |
"C": [ 82 ], | |
"D": [ 55 ], | |
"Q": [ 54 ] | |
} | |
}, | |
"$auto$simplemap.cc:420:simplemap_dff$9 |
Sign up for free
to join this conversation on GitHub.
Already have an account?
Sign in to comment