Skip to content

Instantly share code, notes, and snippets.

View doppioandante's full-sized avatar

Enrico Lumetti doppioandante

View GitHub Profile
@doppioandante
doppioandante / binary_calc.vhd
Created October 3, 2018 14:02
simple vhdl calculator
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity binary_calc is
port (
input1: in std_logic_vector(4 downto 0); -- first 5-bit input number
input2: in std_logic_vector(4 downto 0); -- second 5-bit input number
mod tga;
use tga::{TGAImage, TGAImage_Format, TGAColor};
use std::ffi::CString;
use std::os::raw::*;
/*
#include "tgaimage.hpp"
const TGAColor white = TGAColor(255, 255, 255, 255);
const TGAColor red = TGAColor(255, 0, 0, 255);
2015/04/28 21:41:14 [error @ job_err:346] 6295 - Channel 1 stderr: Traceback (most recent call last):
File "<string>", line 1, in <module>
2015/04/28 21:41:14 [error @ job_err:346] 6295 - Channel 1 stderr: File "/usr/lib/python2.7/site-packages/neovim/__init__.py", line 10, in <module>
from .msgpack_rpc import (child_session, socket_session, stdio_session,
File "/usr/lib/python2.7/site-packages/neovim/msgpack_rpc/__init__.py", line 8, in <module>
2015/04/28 21:41:14 [error @ job_err:346] 6295 - Channel 1 stderr: from .event_loop import EventLoop
File "/usr/lib/python2.7/site-packages/neovim/msgpack_rpc/event_loop/__init__.py", line 12, in <module>
from .asyncio import AsyncioEventLoop
Input
ATAGACATATATACCGGTATGGTATACGGTTGTTGCTCCGGAGTAACCTCGAATATCAGATGACTTGCTAAAACCTAACGAGCACCGTGAATTGGCAGCTGGTCCATAGTGGATTGTCTTCCGTAAAGTGATTTCGGCTCCACAGCTCTTTGCCCTTTGATATGGGCTTACACTTTAGGAAGAATAGCCAATTTGCCACATCCTTTTTGCGATGTTGTTAAATGCGACTCAGCCTGGCTCGACGGAATGATTTAGGCATACTGCCCAGAAGATATGCATCGATAAGTGCCTCCATAAGGGATAACCCCTGCAAGGTGTATTTCTTCCGGATATTTTCCATTACGCATTTTGGTGACGGCATAGTCCTCCGGTACCCAGCCTGTACTGCACAATCACCGGTTGCGCGGCAACTGATCTCAACCTTCTTTGTAAGCACGCTAGTGTCTGAAACTTAACCTCCCCATTTGACATCTGCAGGATAGCTACTTCGAGCCGTAGGATACGCTTCTCCGAGGCAGTGGCATGAATGACTCGTCTAACTCCTTGCCTGATGGGTTACGAAGCCACAACCACCTGAATTTCGAGCAGGCTGTCGTGGACCGAGATCGATCCGGAGACAGTCCTACCGTACTACTTATAATAGGACTATTAGTTCATTCAGGAGCTACCTTCAAACCTGGTGACGTAACGGCGGTCCCACCTAGGGAGATAATAGCGACCGTTGTTAATGATTCTGTACCAAAAAGGTGGCAGGACCTGATACATTTCTAAAGTGTACGCAGATGTCGCTGTTAAAGTGAAGCTGCTAAATAGGGTCCTACGTTTTGAATAAGGTCTCATGCGATAGATTGATAGCTATGTCATGGGGTAAGCTGCAGAAAGTCACGCTGGACGGAAGTTATAGGTGGCTCAAGTCCCGTAACTACCCCTAAGCGCAAAGGACCGACTCCGCCAGTTTTCTGAGGACGCAACACATCAAAGGGGGTGCACAATACTGTCACAAGGGCCTGGCGATCTT
➜ codes strace ./test file.js
execve("./test", ["./test", "file.js"], [/* 32 vars */]) = 0
brk(0) = 0x22a7000
access("/etc/ld.so.preload", R_OK) = -1 ENOENT (No such file or directory)
open("/etc/ld.so.cache", O_RDONLY|O_CLOEXEC) = 3
fstat(3, {st_mode=S_IFREG|0644, st_size=60092, ...}) = 0
mmap(NULL, 60092, PROT_READ, MAP_PRIVATE, 3, 0) = 0x7fcafa6e7000
close(3) = 0
open("/usr/lib/libuv.so.11", O_RDONLY|O_CLOEXEC) = 3
read(3, "\177ELF\2\1\1\0\0\0\0\0\0\0\0\0\3\0>\0\1\0\0\0\340\211\0\0\0\0\0\0"..., 832) = 832
#include <stdio.h>
#include <stdlib.h>
#include <uv.h>
uv_loop_t *loop;
void fs_callback(uv_fs_event_t *handle, const char *filename, int events, int status) {
fprintf(stderr, "Change detected(%d) in %s: ", events, handle->path);
if (events & UV_RENAME)
fprintf(stderr, "renamed");
if (events & UV_CHANGE)