Skip to content

Instantly share code, notes, and snippets.

View nickfox-taterli's full-sized avatar
😰
想哭

Tater Li nickfox-taterli

😰
想哭
View GitHub Profile
@nickfox-taterli
nickfox-taterli / gptapi.md
Last active January 14, 2025 08:16
GPTAPI.US历史价格

顺序可能有不同

模型 官网价格 本站价格 并发 折扣
gpt-4o-mini Input:$0.15 / 1M tokens
Output:$0.6 / 1M tokens
Input:¥0.15 / 1M tokens
Output:¥0.6 / 1M tokens
支持 ~1.4折
gpt-4o-mini-2024-07-18 Input:$0.15 / 1M tokens
Output:$0.6 / 1M tokens
Input:¥0.84 / 1M tokens
Output:¥3.36 / 1M tokens
支持 8折
claude-3-haiku
claude-3-haiku-20240229
claude-3-haiku-20240307
Input:$0.25 / 1M tokens
Output:$1.25 / 1M tokens
Input:¥0.88 / 1M tokens
Output:¥4.4 / 1M tokens
支持 5折
claude-3-5-haiku claude-3-5-haiku-20241022 Input:$0.25 / 1M tokens Output:$1.25 / 1M tokens Input:¥0.88 / 1M tokens Output:¥4.4 / 1M tokens 支持 5折
@nickfox-taterli
nickfox-taterli / software.txt
Created December 31, 2024 07:49
software.txt
Name Version ProviderName
---- ------- ------------
Windows 驱动程序包 - FTDI CDM Driver Package - VCP Driver (08/16/2017 2.12.28) 08/16/2017 2.12.28 Programs
Windows Driver Package - NXP HIDClass (11/03/2014 1.0.0.1) 11/03/2014 1.0.0.1 Programs
Windows Driver Package - Philips (NXP) (DFU) USB (03/11/2010 3.12.0.0) 03/11/2010 3.12.0.0 Programs
Windows 驱动程序包 - Arduino USBDevice (03/03/2020 10.48.00.000) 03/03/2020 10.48.00.000 Programs
Windows 驱动程序包 - SEGGER (JLinkCDC) Ports (06/06/2019 1.34.0.44950) 06/06/2019 1.34.0.44950 Programs
Windows 驱动程序包 - IAR Systems (IJET) IARUSB (05/23/2012 2.05)
@nickfox-taterli
nickfox-taterli / mfx.md
Created December 26, 2024 11:11
STM32 DISCO MFX REG MAP

MFXSTM32L152 寄存器映射表

内容可能存在问题,对此不负责.

通用寄存器

寄存器名称 地址 (十六进制) 描述 访问类型
MFXSTM32L152_REG_ADR_ID 0x00 芯片ID 只读 (R)
MFXSTM32L152_REG_ADR_FW_VERSION_MSB 0x01 芯片固件版本高字节 只读 (R)
@nickfox-taterli
nickfox-taterli / Word2Vec.ipynb
Created October 2, 2024 07:18
词的向量表示
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@nickfox-taterli
nickfox-taterli / tinyimagenet.ipynb
Created July 17, 2024 15:06
tinyimagenet like simple train
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@nickfox-taterli
nickfox-taterli / 0001-Add-TaterLi-Custom-Patch-RIoTBoard.diff
Created June 14, 2024 14:03
0001-Add-TaterLi-Custom-Patch-RIoTBoard.diff
diff --git a/arch/arm/dts/imx6dl-riotboard.dts b/arch/arm/dts/imx6dl-riotboard.dts
index e7d9bfbfd0e..e09dc6e410f 100644
--- a/arch/arm/dts/imx6dl-riotboard.dts
+++ b/arch/arm/dts/imx6dl-riotboard.dts
@@ -176,7 +176,7 @@
VDDIO-supply = <&reg_3p3v>;
};
- pmic: pf0100@8 {
+ pmic: pfuze100@8 {
@nickfox-taterli
nickfox-taterli / rtl_bram.v
Created May 3, 2024 12:02
RTL AXI4Lite BRAM
module rtl_bram(
input wire clk,
(* X_INTERFACE_PARAMETER = "POLARITY ACTIVE_HIGH" *)
input wire rst,
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWADDR" *)
input wire [31:0] s_axil_awaddr,
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWPROT" *)
input wire [2:0] s_axil_awprot,
@nickfox-taterli
nickfox-taterli / wsram.v
Created April 2, 2024 14:13
wishbone sram
module top(
input [31:0] adr,
input [31:0] dat_w,
output reg [31:0] dat_r,
input [3:0] sel,
input cyc,
input stb,
output reg ack,
input we,
input [2:0] cti,
@nickfox-taterli
nickfox-taterli / spi.v
Created March 23, 2024 13:39
spi slave at tang nano 20k
module top(
input wire clk_i,
input wire rst_i,
input wire sdi_csn_i,
input wire sdi_clk_i,
input wire sdi_dat_i,
output wire sdi_dat_o,
output wire [5:0] led
@nickfox-taterli
nickfox-taterli / bram_axil.v
Last active February 15, 2024 14:01
bram_axil.v
module bram_axil(
input wire clk,
input wire rst,
input wire [31:0] s_axil_awaddr,
input wire [2:0] s_axil_awprot,
input wire s_axil_awvaild,
output wire s_axil_awready,
input wire [31:0] s_axil_wdata,
input wire [3:0] s_axil_wstrb,