Skip to content

Instantly share code, notes, and snippets.

@nyuichi
Created November 11, 2014 03:05
Show Gist options
  • Select an option

  • Save nyuichi/5acf33148765f2dc22d2 to your computer and use it in GitHub Desktop.

Select an option

Save nyuichi/5acf33148765f2dc22d2 to your computer and use it in GitHub Desktop.
entity DataCache is
port (
clk : in std_logic;
addr : in std_logic_vector(31 downto 0);
-- read
rx_en : in std_logic;
rx_data : out std_logic_vector(31 downto 0);
rx_hit : out std_logic;
-- write
tx_en : in std_logic;
tx_data : in std_logic_vector(31 downto 0));
end DataCache;
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment