You should refer the original page. The all copyrights of these documents belong to them.
- grep :: search a file for a pattern
You should refer the original page. The all copyrights of these documents belong to them.
//(1)=========================================================<< File Header >>= | |
/** | |
* @file c-template_linux_cli_application.c | |
* @brief <Application meaning> Ver 0.01 | |
* @author TD | |
* @date 2020-05-31 | |
* | |
* <pre> 2020 TD LICENSED CC0 </pre> | |
******************************************************************************/ | |
//(2)======================================================<< System Include >>= |
#!/bin/bash --posix | |
# -*- coding:utf-8 -*- | |
# === Coding shell scripting Memo ============================================== | |
# ${<name>#<pattern>} :: matching delete with shortest by forword. | |
# ${<name>##<pattern>} :: matching delete with longest by forword. | |
# ${<name>%<pattern>} :: matching delete with shortest by backword. | |
# ${<name>%%<pattern>} :: mathing delete with longest by backword. | |
# ${<name>/<before>/<after>} :: replace only first matching. | |
# ${<name>//<before>/<after>} :: replace all matching. |
#!/bin/bash --posix | |
# -*- coding:utf-8 -*- | |
# === Coding shell scripting Memo ============================================== | |
# ${<name>#<pattern>} :: matching delete with shortest by forword. | |
# ${<name>##<pattern>} :: matching delete with longest by forword. | |
# ${<name>%<pattern>} :: matching delete with shortest by backword. | |
# ${<name>%%<pattern>} :: mathing delete with longest by backword. | |
# ${<name>/<before>/<after>} :: replace only first matching. | |
# ${<name>//<before>/<after>} :: replace all matching. |
#!/bin/bash --posix | |
# -*- coding:utf-8 -*- | |
# === Coding shell scripting Memo ============================================== | |
# ${<name>#<pattern>} :: matching delete with shortest by forword. | |
# ${<name>##<pattern>} :: matching delete with longest by forword. | |
# ${<name>%<pattern>} :: matching delete with shortest by backword. | |
# ${<name>%%<pattern>} :: mathing delete with longest by backword. | |
# ${<name>/<before>/<after>} :: replace only first matching. | |
# ${<name>//<before>/<after>} :: replace all matching. |
## Arty constraints file | |
## project: ????? | |
## Manual <https://reference.digilentinc.com/reference/programmable-logic/arty-a7/reference-manual> | |
## Pin <https://reference.digilentinc.com/_media/reference/programmable-logic/arty-a7/arty_a7_sch.pdf> | |
## Clock signal | |
set_property PACKAGE_PIN E3 [get_ports {CLK}] | |
set_property IOSTANDARD LVCMOS33 [get_ports {CLK}] | |
create_clock -add -name sys_clk_pin -period 10.00 \ | |
-waveform {0 5} [get_ports {CLK}] |
library ieee; | |
use ieee.std_logic_1164.all; | |
entity encode_7seg is | |
generic(COMMON: integer range 0 to 1 := 0); | |
port(DIN: in integer range 0 to 127; | |
DOT: in std_logic; | |
SEG7LED: out std_logic_vector(7 downto 0)); | |
end encode_7seg; |
data:extend( | |
{ | |
{ | |
type = "recipe", | |
name = "distillation-science-pack-2", | |
enabled = "true", | |
ingredients = | |
{ | |
{"science-pack-1", 3} | |
}, |