Skip to content

Instantly share code, notes, and snippets.

View Mati365's full-sized avatar
🚧
Work Work Work

Mateusz Bagiński Mati365

🚧
Work Work Work
View GitHub Profile
@Mati365
Mati365 / CV.md
Last active October 4, 2022 10:06
top sikret
class Vertex {
constructor(name, value = 0) {
this.name = name;
this.value = value;
this.edges = [];
}
/**
* Links both vertices
*
@Mati365
Mati365 / klawierka_xd.vhdl
Last active April 15, 2018 13:31
JAKIES TOTALNIE NIEPOTRZEBNE RZECZY ALE WYMAGAJĄ
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_unsigned.all;
entity Counter is
generic (width: integer := 23);
port(
clk: in std_logic;
reset: in std_logic := '0';
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_unsigned.all;
entity Counter is
generic (width: integer := 23);
port(
clk: in std_logic;
reset: in std_logic := '0';
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
entity jk_flipflop is
port(j, k: in std_logic;
clk: in std_logic;
q: inout std_logic);
end jk_flipflop;
-- Design plik
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_unsigned.all;
-- licznik 4 bitowy
entity counter_4bit is
port(
clk: in std_logic;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_unsigned.all;
entity counter is
generic (width: integer := 23);
port(
clk: in std_logic;
reset: in std_logic := '0';
public class Foo {
public static void main(String[] args) {
System.out.println("Hello world!");
}
}
@Mati365
Mati365 / ass.js
Created September 10, 2017 14:59
const R = require('ramda');
const {Either} = require('ramda-fantasy');
const messages = {
unknown: {
opcode: 'Unknown %{0} opcode',
argument: 'Wrong %{0} instruction %{1} argument',
combination: 'Unknown %{0} opcode argument combination',
},
};
1) Jan Kowalski dostał oceny z polskiego, angielskiego i fizyki. Jeśli
< 2 - tragedia
<= 2; 3> - jako tako
<= 3, 4 >= środkowa
< 4 super
srednia wazona,
2) Takie jak 1 tylko z user sam sobie dodaje przedmioty
3) tab 10x10, całkowite, 0 -100, licznik, parzyste
4) takie jak pierwsze i min, max współrzędnych wierzchołków