Skip to content

Instantly share code, notes, and snippets.

View mkatsimpris's full-sized avatar

Merkourios Katsimpris mkatsimpris

View GitHub Profile
#-----------------------------------------------------------
# Vivado v2014.4 (64-bit)
# SW Build 1071353 on Tue Nov 18 18:29:27 MST 2014
# IP Build 1070531 on Tue Nov 18 01:10:18 MST 2014
# Start of session at: Sat Jul 23 10:57:45 2016
# Process ID: 400
# Log file: C:/Users/VLSILAB/Desktop/jpeg_measurements/project_1/project_1.runs/synth_1/frontend_top_level_v2.vds
# Journal file: C:/Users/VLSILAB/Desktop/jpeg_measurements/project_1/project_1.runs/synth_1\vivado.jou
#-----------------------------------------------------------
source frontend_top_level_v2.tcl
Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
-------------------------------------------------------------------------------------------------------------------------------------
| Tool Version : Vivado v.2014.4 (win64) Build 1071353 Tue Nov 18 18:29:27 MST 2014
| Date : Sat Jul 23 10:58:51 2016
| Host : VLSILAB-STRIKE running 64-bit Service Pack 1 (build 7601)
| Command : report_utilization -file frontend_top_level_v2_utilization_synth.rpt -pb frontend_top_level_v2_utilization_synth.pb
| Design : frontend_top_level_v2
| Device : xc7vx690t
| Design State : Synthesized
-------------------------------------------------------------------------------------------------------------------------------------
-- File: frontend_top_level_v2.vhd
-- Generated by MyHDL 1.0dev
-- Date: Tue Aug 2 17:02:03 2016
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use std.textio.all;
-- File: pck_myhdl_10.vhd
-- Generated by MyHDL 1.0dev
-- Date: Tue Aug 2 17:02:03 2016
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package pck_myhdl_10 is
\begin{figure}[H]
\centering
\begin{tikzpicture}
\begin{axis}[
width=15cm,
height=15cm,
title={Combinational area results},
xlabel={Clock Period[ns]},
ylabel={Area[NAND-2 GE]},
xmin=1, xmax=4,
sudo apt-get update
sudo apt-get install git libzmq3-dev libssl-dev build-essential cmake pkg-config libsodium-dev libminiupnpc-dev liblzma-dev libreadline6-dev libldns-dev libexpat1-dev libgtest-dev libboost-all-dev libunbound-dev graphviz doxygen libunwind8-dev
git clone --recursive https://github.com/monero-project/monero
cd monero/
make